ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ (''), /* implementation_level */ '2;1'); FILE_NAME( /* name */ 'TT-SF2.step', /* time_stamp */ '2024-04-23T13:06:31+02:00', /* author */ (''), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v20', /* originating_system */ 'Autodesk Translation Framework v12.20.1.177', /* authorisation */ ''); FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); ENDSEC; DATA; #10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#86,#87, #88,#89,#90,#91,#92,#93,#94,#95,#96,#97,#98,#99,#100,#101,#102,#103,#104, #105,#106,#107,#108,#109,#110,#111,#112,#113,#114,#115,#116,#117,#118,#119, #120,#121,#122,#123,#124,#125,#126,#127,#128,#129,#130,#131,#132,#133,#134, #135,#136,#137,#138,#139,#140,#141,#142,#143,#144,#145,#146,#147,#148,#149, #150,#151,#152,#153,#154,#155,#156,#157,#158,#159,#160,#161,#162,#163,#164, #165,#166,#167,#168,#169,#170,#171,#172,#173,#174,#175,#176,#177,#178,#179, #180,#181,#182,#183,#184,#185,#186,#187,#188,#189,#190,#191,#192,#193,#194, #195,#196,#197,#198,#199,#200,#201,#202,#203,#204,#205,#206,#207,#208,#209, #210,#211,#212,#213,#214,#215,#216,#217,#218,#219,#220,#221,#222,#223,#224, #225,#226,#227,#228,#229,#230,#231,#232,#233,#234,#235,#236,#237,#238,#239, #240,#241,#242,#243,#244,#245,#246,#247,#248,#249,#250,#251,#252,#253,#254, #255,#256,#257,#258,#259,#260,#261,#262,#263,#264,#265,#266,#267,#268,#269, #270,#271,#272,#273),#42767); #11=ITEM_DEFINED_TRANSFORMATION($,$,#26201,#28165); #12=ITEM_DEFINED_TRANSFORMATION($,$,#26764,#28166); #13=( REPRESENTATION_RELATIONSHIP($,$,#42781,#42780) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#11) SHAPE_REPRESENTATION_RELATIONSHIP() ); #14=( REPRESENTATION_RELATIONSHIP($,$,#42782,#42780) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#12) SHAPE_REPRESENTATION_RELATIONSHIP() ); #15=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#13,#42778); #16=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#14,#42779); #17=NEXT_ASSEMBLY_USAGE_OCCURRENCE('PCB_SF1a:1','PCB_SF1a:1', 'PCB_SF1a:1',#42784,#42785,'PCB_SF1a:1'); #18=NEXT_ASSEMBLY_USAGE_OCCURRENCE('MC_shield_3D:1','MC_shield_3D:1', 'MC_shield_3D:1',#42784,#42786,'MC_shield_3D:1'); #19=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42781,#21); #20=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#42782,#22); #21=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#75,#76,#77,#78,#79,#80,#81, #82,#83,#84),#42765); #22=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#85),#42766); #23=FACE_BOUND('',#2755,.T.); #24=FACE_BOUND('',#2952,.T.); #25=FACE_BOUND('',#3050,.T.); #26=FACE_BOUND('',#3421,.T.); #27=FACE_BOUND('',#3535,.T.); #28=FACE_BOUND('',#3734,.T.); #29=FACE_BOUND('',#3782,.T.); #30=FACE_BOUND('',#3783,.T.); #31=FACE_BOUND('',#3784,.T.); #32=FACE_BOUND('',#3785,.T.); #33=FACE_BOUND('',#3786,.T.); #34=FACE_BOUND('',#3787,.T.); #35=FACE_BOUND('',#3788,.T.); #36=FACE_BOUND('',#3789,.T.); #37=FACE_BOUND('',#3790,.T.); #38=FACE_BOUND('',#3791,.T.); #39=FACE_BOUND('',#3792,.T.); #40=FACE_BOUND('',#3793,.T.); #41=FACE_BOUND('',#3794,.T.); #42=FACE_BOUND('',#3795,.T.); #43=FACE_BOUND('',#3796,.T.); #44=FACE_BOUND('',#3797,.T.); #45=FACE_BOUND('',#3798,.T.); #46=FACE_BOUND('',#3799,.T.); #47=FACE_BOUND('',#3800,.T.); #48=FACE_BOUND('',#3801,.T.); #49=FACE_BOUND('',#3802,.T.); #50=FACE_BOUND('',#3803,.T.); #51=FACE_BOUND('',#3804,.T.); #52=FACE_BOUND('',#3805,.T.); #53=FACE_BOUND('',#3806,.T.); #54=FACE_BOUND('',#3807,.T.); #55=FACE_BOUND('',#3808,.T.); #56=FACE_BOUND('',#3809,.T.); #57=FACE_BOUND('',#3810,.T.); #58=FACE_BOUND('',#3811,.T.); #59=FACE_BOUND('',#3812,.T.); #60=FACE_BOUND('',#3813,.T.); #61=FACE_BOUND('',#3878,.T.); #62=FACE_BOUND('',#3879,.T.); #63=FACE_BOUND('',#3880,.T.); #64=FACE_BOUND('',#3881,.T.); #65=FACE_BOUND('',#3882,.T.); #66=FACE_BOUND('',#3883,.T.); #67=FACE_BOUND('',#3884,.T.); #68=FACE_BOUND('',#3885,.T.); #69=FACE_BOUND('',#3886,.T.); #70=FACE_BOUND('',#3887,.T.); #71=FACE_BOUND('',#3888,.T.); #72=FACE_BOUND('',#3889,.T.); #73=FACE_BOUND('',#3890,.T.); #74=FACE_BOUND('',#3891,.T.); #75=MANIFOLD_SOLID_BREP('Body1',#26166); #76=MANIFOLD_SOLID_BREP('Body2',#26167); #77=MANIFOLD_SOLID_BREP('Body3',#26168); #78=MANIFOLD_SOLID_BREP('Body4',#26169); #79=MANIFOLD_SOLID_BREP('Body5',#26170); #80=MANIFOLD_SOLID_BREP('Body6',#26171); #81=MANIFOLD_SOLID_BREP('Body7',#26172); #82=MANIFOLD_SOLID_BREP('Body8',#26173); #83=MANIFOLD_SOLID_BREP('Body9',#26174); #84=MANIFOLD_SOLID_BREP('Body10',#26175); #85=MANIFOLD_SOLID_BREP('Body1',#26176); #86=STYLED_ITEM('',(#42801),#24864); #87=STYLED_ITEM('',(#42800),#75); #88=STYLED_ITEM('',(#42801),#24869); #89=STYLED_ITEM('',(#42801),#24873); #90=STYLED_ITEM('',(#42801),#24877); #91=STYLED_ITEM('',(#42801),#24881); #92=STYLED_ITEM('',(#42801),#24885); #93=STYLED_ITEM('',(#42801),#24889); #94=STYLED_ITEM('',(#42801),#24893); #95=STYLED_ITEM('',(#42801),#24897); #96=STYLED_ITEM('',(#42801),#24901); #97=STYLED_ITEM('',(#42801),#24905); #98=STYLED_ITEM('',(#42801),#24909); #99=STYLED_ITEM('',(#42801),#24913); #100=STYLED_ITEM('',(#42801),#24917); #101=STYLED_ITEM('',(#42801),#24921); #102=STYLED_ITEM('',(#42801),#24925); #103=STYLED_ITEM('',(#42801),#24929); #104=STYLED_ITEM('',(#42801),#24933); #105=STYLED_ITEM('',(#42801),#24937); #106=STYLED_ITEM('',(#42801),#24941); #107=STYLED_ITEM('',(#42801),#24945); #108=STYLED_ITEM('',(#42801),#24949); #109=STYLED_ITEM('',(#42801),#24953); #110=STYLED_ITEM('',(#42801),#24957); #111=STYLED_ITEM('',(#42801),#24961); #112=STYLED_ITEM('',(#42801),#24965); #113=STYLED_ITEM('',(#42801),#24969); #114=STYLED_ITEM('',(#42801),#24973); #115=STYLED_ITEM('',(#42801),#24977); #116=STYLED_ITEM('',(#42801),#24981); #117=STYLED_ITEM('',(#42801),#24985); #118=STYLED_ITEM('',(#42801),#24989); #119=STYLED_ITEM('',(#42801),#24993); #120=STYLED_ITEM('',(#42801),#24997); #121=STYLED_ITEM('',(#42801),#25001); #122=STYLED_ITEM('',(#42801),#25005); #123=STYLED_ITEM('',(#42801),#25009); #124=STYLED_ITEM('',(#42801),#25013); #125=STYLED_ITEM('',(#42801),#25017); #126=STYLED_ITEM('',(#42801),#25021); #127=STYLED_ITEM('',(#42801),#25025); #128=STYLED_ITEM('',(#42801),#25029); #129=STYLED_ITEM('',(#42800),#25030); #130=STYLED_ITEM('',(#42801),#25031); #131=STYLED_ITEM('',(#42801),#25032); #132=STYLED_ITEM('',(#42801),#25033); #133=STYLED_ITEM('',(#42801),#25034); #134=STYLED_ITEM('',(#42801),#25035); #135=STYLED_ITEM('',(#42801),#25036); #136=STYLED_ITEM('',(#42801),#25037); #137=STYLED_ITEM('',(#42801),#25038); #138=STYLED_ITEM('',(#42801),#25039); #139=STYLED_ITEM('',(#42800),#25051); #140=STYLED_ITEM('',(#42800),#25052); #141=STYLED_ITEM('',(#42800),#25053); #142=STYLED_ITEM('',(#42800),#25054); #143=STYLED_ITEM('',(#42800),#25055); #144=STYLED_ITEM('',(#42800),#25056); #145=STYLED_ITEM('',(#42800),#25057); #146=STYLED_ITEM('',(#42800),#25058); #147=STYLED_ITEM('',(#42800),#25059); #148=STYLED_ITEM('',(#42800),#25060); #149=STYLED_ITEM('',(#42800),#25061); #150=STYLED_ITEM('',(#42800),#25062); #151=STYLED_ITEM('',(#42800),#25063); #152=STYLED_ITEM('',(#42800),#25064); #153=STYLED_ITEM('',(#42800),#25065); #154=STYLED_ITEM('',(#42800),#25067); #155=STYLED_ITEM('',(#42800),#25068); #156=STYLED_ITEM('',(#42800),#25069); #157=STYLED_ITEM('',(#42800),#25070); #158=STYLED_ITEM('',(#42800),#25071); #159=STYLED_ITEM('',(#42800),#25072); #160=STYLED_ITEM('',(#42800),#25073); #161=STYLED_ITEM('',(#42800),#25074); #162=STYLED_ITEM('',(#42800),#25075); #163=STYLED_ITEM('',(#42800),#25076); #164=STYLED_ITEM('',(#42800),#25077); #165=STYLED_ITEM('',(#42800),#25078); #166=STYLED_ITEM('',(#42800),#25079); #167=STYLED_ITEM('',(#42800),#25080); #168=STYLED_ITEM('',(#42800),#25081); #169=STYLED_ITEM('',(#42800),#25082); #170=STYLED_ITEM('',(#42800),#25083); #171=STYLED_ITEM('',(#42800),#25084); #172=STYLED_ITEM('',(#42801),#25088); #173=STYLED_ITEM('',(#42801),#25092); #174=STYLED_ITEM('',(#42801),#25096); #175=STYLED_ITEM('',(#42801),#25100); #176=STYLED_ITEM('',(#42801),#25104); #177=STYLED_ITEM('',(#42801),#25108); #178=STYLED_ITEM('',(#42801),#25112); #179=STYLED_ITEM('',(#42801),#25116); #180=STYLED_ITEM('',(#42801),#25120); #181=STYLED_ITEM('',(#42801),#25124); #182=STYLED_ITEM('',(#42801),#25128); #183=STYLED_ITEM('',(#42801),#25132); #184=STYLED_ITEM('',(#42801),#25136); #185=STYLED_ITEM('',(#42801),#25140); #186=STYLED_ITEM('',(#42801),#25144); #187=STYLED_ITEM('',(#42801),#25148); #188=STYLED_ITEM('',(#42801),#25152); #189=STYLED_ITEM('',(#42801),#25156); #190=STYLED_ITEM('',(#42801),#25160); #191=STYLED_ITEM('',(#42801),#25164); #192=STYLED_ITEM('',(#42801),#25168); #193=STYLED_ITEM('',(#42801),#25172); #194=STYLED_ITEM('',(#42801),#25176); #195=STYLED_ITEM('',(#42801),#25180); #196=STYLED_ITEM('',(#42801),#25184); #197=STYLED_ITEM('',(#42801),#25188); #198=STYLED_ITEM('',(#42801),#25192); #199=STYLED_ITEM('',(#42801),#25196); #200=STYLED_ITEM('',(#42801),#25200); #201=STYLED_ITEM('',(#42801),#25204); #202=STYLED_ITEM('',(#42801),#25208); #203=STYLED_ITEM('',(#42801),#25212); #204=STYLED_ITEM('',(#42801),#25213); #205=STYLED_ITEM('',(#42801),#25214); #206=STYLED_ITEM('',(#42801),#25215); #207=STYLED_ITEM('',(#42801),#25216); #208=STYLED_ITEM('',(#42801),#25217); #209=STYLED_ITEM('',(#42801),#25218); #210=STYLED_ITEM('',(#42801),#25219); #211=STYLED_ITEM('',(#42801),#25220); #212=STYLED_ITEM('',(#42801),#25221); #213=STYLED_ITEM('',(#42801),#25222); #214=STYLED_ITEM('',(#42801),#25223); #215=STYLED_ITEM('',(#42801),#25224); #216=STYLED_ITEM('',(#42801),#25225); #217=STYLED_ITEM('',(#42801),#25226); #218=STYLED_ITEM('',(#42801),#25227); #219=STYLED_ITEM('',(#42801),#25228); #220=STYLED_ITEM('',(#42801),#25229); #221=STYLED_ITEM('',(#42801),#25230); #222=STYLED_ITEM('',(#42801),#25231); #223=STYLED_ITEM('',(#42801),#25232); #224=STYLED_ITEM('',(#42801),#25233); #225=STYLED_ITEM('',(#42801),#25234); #226=STYLED_ITEM('',(#42801),#25235); #227=STYLED_ITEM('',(#42801),#25236); #228=STYLED_ITEM('',(#42801),#25237); #229=STYLED_ITEM('',(#42801),#25238); #230=STYLED_ITEM('',(#42801),#25239); #231=STYLED_ITEM('',(#42801),#25240); #232=STYLED_ITEM('',(#42801),#25241); #233=STYLED_ITEM('',(#42801),#25242); #234=STYLED_ITEM('',(#42801),#25243); #235=STYLED_ITEM('',(#42801),#25244); #236=STYLED_ITEM('',(#42801),#25245); #237=STYLED_ITEM('',(#42800),#25254); #238=STYLED_ITEM('',(#42800),#76); #239=STYLED_ITEM('',(#42801),#25262); #240=STYLED_ITEM('',(#42800),#77); #241=STYLED_ITEM('',(#42801),#25270); #242=STYLED_ITEM('',(#42800),#78); #243=STYLED_ITEM('',(#42801),#25278); #244=STYLED_ITEM('',(#42800),#79); #245=STYLED_ITEM('',(#42801),#25286); #246=STYLED_ITEM('',(#42800),#80); #247=STYLED_ITEM('',(#42801),#25294); #248=STYLED_ITEM('',(#42800),#81); #249=STYLED_ITEM('',(#42801),#25302); #250=STYLED_ITEM('',(#42800),#82); #251=STYLED_ITEM('',(#42801),#25310); #252=STYLED_ITEM('',(#42800),#83); #253=STYLED_ITEM('',(#42801),#25318); #254=STYLED_ITEM('',(#42800),#84); #255=STYLED_ITEM('',(#42803),#25342); #256=STYLED_ITEM('',(#42803),#25374); #257=STYLED_ITEM('',(#42803),#25408); #258=STYLED_ITEM('',(#42803),#25493); #259=STYLED_ITEM('',(#42803),#25548); #260=STYLED_ITEM('',(#42803),#25593); #261=STYLED_ITEM('',(#42803),#25648); #262=STYLED_ITEM('',(#42803),#25691); #263=STYLED_ITEM('',(#42803),#25712); #264=STYLED_ITEM('',(#42803),#25759); #265=STYLED_ITEM('',(#42803),#25784); #266=STYLED_ITEM('',(#42803),#25825); #267=STYLED_ITEM('',(#42803),#25863); #268=STYLED_ITEM('',(#42803),#25908); #269=STYLED_ITEM('',(#42803),#25963); #270=STYLED_ITEM('',(#42803),#25975); #271=STYLED_ITEM('',(#42803),#26023); #272=STYLED_ITEM('',(#42803),#26067); #273=STYLED_ITEM('',(#42802),#85); #274=PLANE('',#26205); #275=PLANE('',#26206); #276=PLANE('',#26207); #277=PLANE('',#26208); #278=PLANE('',#26209); #279=PLANE('',#26210); #280=PLANE('',#26211); #281=PLANE('',#26212); #282=PLANE('',#26213); #283=PLANE('',#26214); #284=PLANE('',#26215); #285=PLANE('',#26217); #286=PLANE('',#26218); #287=PLANE('',#26219); #288=PLANE('',#26220); #289=PLANE('',#26222); #290=PLANE('',#26223); #291=PLANE('',#26224); #292=PLANE('',#26225); #293=PLANE('',#26227); #294=PLANE('',#26228); #295=PLANE('',#26229); #296=PLANE('',#26230); #297=PLANE('',#26232); #298=PLANE('',#26233); #299=PLANE('',#26234); #300=PLANE('',#26235); #301=PLANE('',#26237); #302=PLANE('',#26238); #303=PLANE('',#26239); #304=PLANE('',#26240); #305=PLANE('',#26242); #306=PLANE('',#26243); #307=PLANE('',#26244); #308=PLANE('',#26245); #309=PLANE('',#26247); #310=PLANE('',#26248); #311=PLANE('',#26249); #312=PLANE('',#26250); #313=PLANE('',#26252); #314=PLANE('',#26253); #315=PLANE('',#26254); #316=PLANE('',#26255); #317=PLANE('',#26257); #318=PLANE('',#26258); #319=PLANE('',#26259); #320=PLANE('',#26260); #321=PLANE('',#26262); #322=PLANE('',#26263); #323=PLANE('',#26264); #324=PLANE('',#26265); #325=PLANE('',#26267); #326=PLANE('',#26268); #327=PLANE('',#26269); #328=PLANE('',#26270); #329=PLANE('',#26272); #330=PLANE('',#26273); #331=PLANE('',#26274); #332=PLANE('',#26275); #333=PLANE('',#26277); #334=PLANE('',#26278); #335=PLANE('',#26279); #336=PLANE('',#26280); #337=PLANE('',#26282); #338=PLANE('',#26283); #339=PLANE('',#26284); #340=PLANE('',#26285); #341=PLANE('',#26287); #342=PLANE('',#26288); #343=PLANE('',#26289); #344=PLANE('',#26290); #345=PLANE('',#26292); #346=PLANE('',#26293); #347=PLANE('',#26294); #348=PLANE('',#26295); #349=PLANE('',#26297); #350=PLANE('',#26298); #351=PLANE('',#26299); #352=PLANE('',#26300); #353=PLANE('',#26302); #354=PLANE('',#26303); #355=PLANE('',#26304); #356=PLANE('',#26305); #357=PLANE('',#26307); #358=PLANE('',#26308); #359=PLANE('',#26309); #360=PLANE('',#26310); #361=PLANE('',#26312); #362=PLANE('',#26313); #363=PLANE('',#26314); #364=PLANE('',#26315); #365=PLANE('',#26317); #366=PLANE('',#26318); #367=PLANE('',#26319); #368=PLANE('',#26320); #369=PLANE('',#26322); #370=PLANE('',#26323); #371=PLANE('',#26324); #372=PLANE('',#26325); #373=PLANE('',#26327); #374=PLANE('',#26328); #375=PLANE('',#26329); #376=PLANE('',#26330); #377=PLANE('',#26332); #378=PLANE('',#26333); #379=PLANE('',#26334); #380=PLANE('',#26335); #381=PLANE('',#26337); #382=PLANE('',#26338); #383=PLANE('',#26339); #384=PLANE('',#26340); #385=PLANE('',#26342); #386=PLANE('',#26343); #387=PLANE('',#26344); #388=PLANE('',#26345); #389=PLANE('',#26347); #390=PLANE('',#26348); #391=PLANE('',#26349); #392=PLANE('',#26350); #393=PLANE('',#26352); #394=PLANE('',#26353); #395=PLANE('',#26354); #396=PLANE('',#26355); #397=PLANE('',#26357); #398=PLANE('',#26358); #399=PLANE('',#26359); #400=PLANE('',#26360); #401=PLANE('',#26362); #402=PLANE('',#26363); #403=PLANE('',#26364); #404=PLANE('',#26365); #405=PLANE('',#26367); #406=PLANE('',#26368); #407=PLANE('',#26369); #408=PLANE('',#26370); #409=PLANE('',#26372); #410=PLANE('',#26373); #411=PLANE('',#26374); #412=PLANE('',#26375); #413=PLANE('',#26377); #414=PLANE('',#26378); #415=PLANE('',#26379); #416=PLANE('',#26380); #417=PLANE('',#26382); #418=PLANE('',#26383); #419=PLANE('',#26384); #420=PLANE('',#26385); #421=PLANE('',#26387); #422=PLANE('',#26388); #423=PLANE('',#26389); #424=PLANE('',#26390); #425=PLANE('',#26392); #426=PLANE('',#26393); #427=PLANE('',#26394); #428=PLANE('',#26395); #429=PLANE('',#26397); #430=PLANE('',#26398); #431=PLANE('',#26399); #432=PLANE('',#26400); #433=PLANE('',#26402); #434=PLANE('',#26403); #435=PLANE('',#26404); #436=PLANE('',#26405); #437=PLANE('',#26407); #438=PLANE('',#26408); #439=PLANE('',#26409); #440=PLANE('',#26410); #441=PLANE('',#26412); #442=PLANE('',#26413); #443=PLANE('',#26414); #444=PLANE('',#26415); #445=PLANE('',#26417); #446=PLANE('',#26436); #447=PLANE('',#26437); #448=PLANE('',#26438); #449=PLANE('',#26439); #450=PLANE('',#26440); #451=PLANE('',#26441); #452=PLANE('',#26442); #453=PLANE('',#26443); #454=PLANE('',#26444); #455=PLANE('',#26445); #456=PLANE('',#26446); #457=PLANE('',#26447); #458=PLANE('',#26448); #459=PLANE('',#26449); #460=PLANE('',#26450); #461=PLANE('',#26451); #462=PLANE('',#26452); #463=PLANE('',#26453); #464=PLANE('',#26454); #465=PLANE('',#26455); #466=PLANE('',#26456); #467=PLANE('',#26457); #468=PLANE('',#26458); #469=PLANE('',#26459); #470=PLANE('',#26460); #471=PLANE('',#26461); #472=PLANE('',#26462); #473=PLANE('',#26463); #474=PLANE('',#26464); #475=PLANE('',#26465); #476=PLANE('',#26466); #477=PLANE('',#26467); #478=PLANE('',#26468); #479=PLANE('',#26469); #480=PLANE('',#26470); #481=PLANE('',#26471); #482=PLANE('',#26472); #483=PLANE('',#26473); #484=PLANE('',#26474); #485=PLANE('',#26475); #486=PLANE('',#26476); #487=PLANE('',#26477); #488=PLANE('',#26478); #489=PLANE('',#26479); #490=PLANE('',#26480); #491=PLANE('',#26481); #492=PLANE('',#26482); #493=PLANE('',#26483); #494=PLANE('',#26484); #495=PLANE('',#26486); #496=PLANE('',#26487); #497=PLANE('',#26488); #498=PLANE('',#26489); #499=PLANE('',#26491); #500=PLANE('',#26492); #501=PLANE('',#26493); #502=PLANE('',#26494); #503=PLANE('',#26496); #504=PLANE('',#26497); #505=PLANE('',#26498); #506=PLANE('',#26499); #507=PLANE('',#26501); #508=PLANE('',#26502); #509=PLANE('',#26503); #510=PLANE('',#26504); #511=PLANE('',#26506); #512=PLANE('',#26507); #513=PLANE('',#26508); #514=PLANE('',#26509); #515=PLANE('',#26511); #516=PLANE('',#26512); #517=PLANE('',#26513); #518=PLANE('',#26514); #519=PLANE('',#26516); #520=PLANE('',#26517); #521=PLANE('',#26518); #522=PLANE('',#26519); #523=PLANE('',#26521); #524=PLANE('',#26522); #525=PLANE('',#26523); #526=PLANE('',#26524); #527=PLANE('',#26526); #528=PLANE('',#26527); #529=PLANE('',#26528); #530=PLANE('',#26529); #531=PLANE('',#26531); #532=PLANE('',#26532); #533=PLANE('',#26533); #534=PLANE('',#26534); #535=PLANE('',#26536); #536=PLANE('',#26537); #537=PLANE('',#26538); #538=PLANE('',#26539); #539=PLANE('',#26541); #540=PLANE('',#26542); #541=PLANE('',#26543); #542=PLANE('',#26544); #543=PLANE('',#26546); #544=PLANE('',#26547); #545=PLANE('',#26548); #546=PLANE('',#26549); #547=PLANE('',#26551); #548=PLANE('',#26552); #549=PLANE('',#26553); #550=PLANE('',#26554); #551=PLANE('',#26556); #552=PLANE('',#26557); #553=PLANE('',#26558); #554=PLANE('',#26559); #555=PLANE('',#26561); #556=PLANE('',#26562); #557=PLANE('',#26563); #558=PLANE('',#26564); #559=PLANE('',#26566); #560=PLANE('',#26567); #561=PLANE('',#26568); #562=PLANE('',#26569); #563=PLANE('',#26571); #564=PLANE('',#26572); #565=PLANE('',#26573); #566=PLANE('',#26574); #567=PLANE('',#26576); #568=PLANE('',#26577); #569=PLANE('',#26578); #570=PLANE('',#26579); #571=PLANE('',#26581); #572=PLANE('',#26582); #573=PLANE('',#26583); #574=PLANE('',#26584); #575=PLANE('',#26586); #576=PLANE('',#26587); #577=PLANE('',#26588); #578=PLANE('',#26589); #579=PLANE('',#26591); #580=PLANE('',#26592); #581=PLANE('',#26593); #582=PLANE('',#26594); #583=PLANE('',#26596); #584=PLANE('',#26597); #585=PLANE('',#26598); #586=PLANE('',#26599); #587=PLANE('',#26601); #588=PLANE('',#26602); #589=PLANE('',#26603); #590=PLANE('',#26604); #591=PLANE('',#26606); #592=PLANE('',#26607); #593=PLANE('',#26608); #594=PLANE('',#26609); #595=PLANE('',#26611); #596=PLANE('',#26612); #597=PLANE('',#26613); #598=PLANE('',#26614); #599=PLANE('',#26616); #600=PLANE('',#26617); #601=PLANE('',#26618); #602=PLANE('',#26619); #603=PLANE('',#26621); #604=PLANE('',#26622); #605=PLANE('',#26623); #606=PLANE('',#26624); #607=PLANE('',#26626); #608=PLANE('',#26627); #609=PLANE('',#26628); #610=PLANE('',#26629); #611=PLANE('',#26631); #612=PLANE('',#26632); #613=PLANE('',#26633); #614=PLANE('',#26634); #615=PLANE('',#26636); #616=PLANE('',#26637); #617=PLANE('',#26638); #618=PLANE('',#26639); #619=PLANE('',#26673); #620=PLANE('',#26674); #621=PLANE('',#26675); #622=PLANE('',#26676); #623=PLANE('',#26677); #624=PLANE('',#26678); #625=PLANE('',#26679); #626=PLANE('',#26680); #627=PLANE('',#26681); #628=PLANE('',#26682); #629=PLANE('',#26683); #630=PLANE('',#26687); #631=PLANE('',#26688); #632=PLANE('',#26689); #633=PLANE('',#26690); #634=PLANE('',#26691); #635=PLANE('',#26692); #636=PLANE('',#26693); #637=PLANE('',#26697); #638=PLANE('',#26698); #639=PLANE('',#26699); #640=PLANE('',#26700); #641=PLANE('',#26701); #642=PLANE('',#26702); #643=PLANE('',#26703); #644=PLANE('',#26707); #645=PLANE('',#26708); #646=PLANE('',#26709); #647=PLANE('',#26710); #648=PLANE('',#26711); #649=PLANE('',#26712); #650=PLANE('',#26713); #651=PLANE('',#26717); #652=PLANE('',#26718); #653=PLANE('',#26719); #654=PLANE('',#26720); #655=PLANE('',#26721); #656=PLANE('',#26722); #657=PLANE('',#26723); #658=PLANE('',#26727); #659=PLANE('',#26728); #660=PLANE('',#26729); #661=PLANE('',#26730); #662=PLANE('',#26731); #663=PLANE('',#26732); #664=PLANE('',#26733); #665=PLANE('',#26737); #666=PLANE('',#26738); #667=PLANE('',#26739); #668=PLANE('',#26740); #669=PLANE('',#26741); #670=PLANE('',#26742); #671=PLANE('',#26743); #672=PLANE('',#26747); #673=PLANE('',#26748); #674=PLANE('',#26749); #675=PLANE('',#26750); #676=PLANE('',#26751); #677=PLANE('',#26752); #678=PLANE('',#26753); #679=PLANE('',#26757); #680=PLANE('',#26758); #681=PLANE('',#26759); #682=PLANE('',#26760); #683=PLANE('',#26761); #684=PLANE('',#26762); #685=PLANE('',#26763); #686=PLANE('',#26765); #687=PLANE('',#26769); #688=PLANE('',#26776); #689=PLANE('',#26789); #690=PLANE('',#26796); #691=PLANE('',#26800); #692=PLANE('',#26801); #693=PLANE('',#26814); #694=PLANE('',#26815); #695=PLANE('',#26819); #696=PLANE('',#26820); #697=PLANE('',#26821); #698=PLANE('',#26822); #699=PLANE('',#26823); #700=PLANE('',#26824); #701=PLANE('',#26825); #702=PLANE('',#26826); #703=PLANE('',#26830); #704=PLANE('',#26831); #705=PLANE('',#26838); #706=PLANE('',#26842); #707=PLANE('',#26843); #708=PLANE('',#26844); #709=PLANE('',#26845); #710=PLANE('',#26846); #711=PLANE('',#26847); #712=PLANE('',#26848); #713=PLANE('',#26849); #714=PLANE('',#26850); #715=PLANE('',#26854); #716=PLANE('',#26855); #717=PLANE('',#26856); #718=PLANE('',#26857); #719=PLANE('',#26858); #720=PLANE('',#26859); #721=PLANE('',#26860); #722=PLANE('',#26861); #723=PLANE('',#26862); #724=PLANE('',#26863); #725=PLANE('',#26864); #726=PLANE('',#26865); #727=PLANE('',#26866); #728=PLANE('',#26873); #729=PLANE('',#26883); #730=PLANE('',#26884); #731=PLANE('',#26885); #732=PLANE('',#26886); #733=PLANE('',#26887); #734=PLANE('',#26891); #735=PLANE('',#26901); #736=PLANE('',#26905); #737=PLANE('',#26906); #738=PLANE('',#26910); #739=PLANE('',#26911); #740=PLANE('',#26912); #741=PLANE('',#26913); #742=PLANE('',#26914); #743=PLANE('',#26915); #744=PLANE('',#26916); #745=PLANE('',#26923); #746=PLANE('',#26924); #747=PLANE('',#26931); #748=PLANE('',#26938); #749=PLANE('',#26939); #750=PLANE('',#26946); #751=PLANE('',#26947); #752=PLANE('',#26948); #753=PLANE('',#26952); #754=PLANE('',#26953); #755=PLANE('',#26954); #756=PLANE('',#26955); #757=PLANE('',#26956); #758=PLANE('',#26960); #759=PLANE('',#26961); #760=PLANE('',#26962); #761=PLANE('',#26963); #762=PLANE('',#26964); #763=PLANE('',#26965); #764=PLANE('',#26966); #765=PLANE('',#26967); #766=PLANE('',#26968); #767=PLANE('',#26969); #768=PLANE('',#26970); #769=PLANE('',#26971); #770=PLANE('',#26978); #771=PLANE('',#26979); #772=PLANE('',#26980); #773=PLANE('',#26981); #774=PLANE('',#26985); #775=PLANE('',#26986); #776=PLANE('',#26990); #777=PLANE('',#26991); #778=PLANE('',#26998); #779=PLANE('',#26999); #780=PLANE('',#27003); #781=PLANE('',#27004); #782=PLANE('',#27005); #783=PLANE('',#27006); #784=PLANE('',#27007); #785=PLANE('',#27008); #786=PLANE('',#27009); #787=PLANE('',#27013); #788=PLANE('',#27014); #789=PLANE('',#27015); #790=PLANE('',#27019); #791=PLANE('',#27020); #792=PLANE('',#27021); #793=PLANE('',#27022); #794=PLANE('',#27026); #795=PLANE('',#27027); #796=PLANE('',#27028); #797=PLANE('',#27029); #798=PLANE('',#27033); #799=PLANE('',#27034); #800=PLANE('',#27035); #801=PLANE('',#27039); #802=PLANE('',#27040); #803=PLANE('',#27044); #804=PLANE('',#27045); #805=PLANE('',#27046); #806=PLANE('',#27053); #807=PLANE('',#27075); #808=PLANE('',#27079); #809=PLANE('',#27080); #810=PLANE('',#27081); #811=PLANE('',#27085); #812=PLANE('',#27086); #813=PLANE('',#27087); #814=PLANE('',#27088); #815=PLANE('',#27089); #816=PLANE('',#27111); #817=PLANE('',#27133); #818=PLANE('',#27137); #819=PLANE('',#27138); #820=PLANE('',#27139); #821=PLANE('',#27140); #822=PLANE('',#27144); #823=PLANE('',#27145); #824=PLANE('',#27146); #825=PLANE('',#27147); #826=PLANE('',#27157); #827=PLANE('',#27167); #828=PLANE('',#27168); #829=PLANE('',#27169); #830=PLANE('',#27173); #831=PLANE('',#27174); #832=PLANE('',#27175); #833=PLANE('',#27176); #834=PLANE('',#27177); #835=PLANE('',#27178); #836=PLANE('',#27179); #837=PLANE('',#27183); #838=PLANE('',#27184); #839=PLANE('',#27185); #840=PLANE('',#27186); #841=PLANE('',#27187); #842=PLANE('',#27191); #843=PLANE('',#27192); #844=PLANE('',#27193); #845=PLANE('',#27194); #846=PLANE('',#27198); #847=PLANE('',#27199); #848=PLANE('',#27200); #849=PLANE('',#27201); #850=PLANE('',#27202); #851=PLANE('',#27203); #852=PLANE('',#27204); #853=PLANE('',#27205); #854=PLANE('',#27206); #855=PLANE('',#27207); #856=PLANE('',#27211); #857=PLANE('',#27212); #858=PLANE('',#27213); #859=PLANE('',#27214); #860=PLANE('',#27215); #861=PLANE('',#27216); #862=PLANE('',#27217); #863=PLANE('',#27218); #864=PLANE('',#27219); #865=PLANE('',#27223); #866=PLANE('',#27224); #867=PLANE('',#27225); #868=PLANE('',#27226); #869=PLANE('',#27230); #870=PLANE('',#27231); #871=PLANE('',#27232); #872=PLANE('',#27233); #873=PLANE('',#27234); #874=PLANE('',#27235); #875=PLANE('',#27236); #876=PLANE('',#27237); #877=PLANE('',#27238); #878=PLANE('',#27239); #879=PLANE('',#27240); #880=PLANE('',#27241); #881=PLANE('',#27242); #882=PLANE('',#27243); #883=PLANE('',#27244); #884=PLANE('',#27245); #885=PLANE('',#27246); #886=PLANE('',#27250); #887=PLANE('',#27251); #888=PLANE('',#27252); #889=PLANE('',#27253); #890=PLANE('',#27254); #891=PLANE('',#27255); #892=PLANE('',#27259); #893=PLANE('',#27260); #894=PLANE('',#27261); #895=PLANE('',#27262); #896=PLANE('',#27263); #897=PLANE('',#27264); #898=PLANE('',#27265); #899=PLANE('',#27266); #900=PLANE('',#27267); #901=PLANE('',#27268); #902=PLANE('',#27269); #903=PLANE('',#27270); #904=PLANE('',#27271); #905=PLANE('',#27272); #906=PLANE('',#27273); #907=PLANE('',#27274); #908=PLANE('',#27278); #909=PLANE('',#27279); #910=PLANE('',#27280); #911=PLANE('',#27281); #912=PLANE('',#27282); #913=PLANE('',#27283); #914=PLANE('',#27290); #915=PLANE('',#27291); #916=PLANE('',#27292); #917=PLANE('',#27293); #918=PLANE('',#27294); #919=PLANE('',#27298); #920=PLANE('',#27299); #921=PLANE('',#27303); #922=PLANE('',#27304); #923=PLANE('',#27311); #924=PLANE('',#27312); #925=PLANE('',#27319); #926=PLANE('',#27320); #927=PLANE('',#27321); #928=PLANE('',#27322); #929=PLANE('',#27329); #930=PLANE('',#27330); #931=PLANE('',#27334); #932=PLANE('',#27341); #933=PLANE('',#27342); #934=PLANE('',#27352); #935=PLANE('',#27356); #936=PLANE('',#27357); #937=PLANE('',#27358); #938=PLANE('',#27362); #939=PLANE('',#27363); #940=PLANE('',#27364); #941=PLANE('',#27368); #942=PLANE('',#27381); #943=PLANE('',#27406); #944=PLANE('',#27407); #945=PLANE('',#27423); #946=PLANE('',#27424); #947=PLANE('',#27431); #948=PLANE('',#27438); #949=PLANE('',#27442); #950=PLANE('',#27443); #951=PLANE('',#27444); #952=PLANE('',#27448); #953=PLANE('',#27449); #954=PLANE('',#27450); #955=PLANE('',#27451); #956=PLANE('',#27455); #957=PLANE('',#27462); #958=PLANE('',#27463); #959=PLANE('',#27464); #960=PLANE('',#27465); #961=PLANE('',#27466); #962=PLANE('',#27476); #963=PLANE('',#27486); #964=PLANE('',#27490); #965=PLANE('',#27491); #966=PLANE('',#27492); #967=PLANE('',#27493); #968=PLANE('',#27494); #969=PLANE('',#27495); #970=PLANE('',#27496); #971=PLANE('',#27500); #972=PLANE('',#27501); #973=PLANE('',#27502); #974=PLANE('',#27506); #975=PLANE('',#27507); #976=PLANE('',#27508); #977=PLANE('',#27509); #978=PLANE('',#27510); #979=PLANE('',#27511); #980=PLANE('',#27512); #981=PLANE('',#27513); #982=PLANE('',#27514); #983=PLANE('',#27515); #984=PLANE('',#27519); #985=PLANE('',#27520); #986=PLANE('',#27521); #987=PLANE('',#27522); #988=PLANE('',#27526); #989=PLANE('',#27527); #990=PLANE('',#27528); #991=PLANE('',#27532); #992=PLANE('',#27533); #993=PLANE('',#27534); #994=PLANE('',#27535); #995=PLANE('',#27539); #996=PLANE('',#27555); #997=PLANE('',#27556); #998=PLANE('',#27557); #999=PLANE('',#27558); #1000=PLANE('',#27559); #1001=PLANE('',#27560); #1002=PLANE('',#27561); #1003=PLANE('',#27562); #1004=PLANE('',#27563); #1005=PLANE('',#27564); #1006=PLANE('',#27565); #1007=PLANE('',#27569); #1008=PLANE('',#27576); #1009=PLANE('',#27586); #1010=PLANE('',#27587); #1011=PLANE('',#27588); #1012=PLANE('',#27589); #1013=PLANE('',#27590); #1014=PLANE('',#27591); #1015=PLANE('',#27592); #1016=PLANE('',#27593); #1017=PLANE('',#27609); #1018=PLANE('',#27616); #1019=PLANE('',#27617); #1020=PLANE('',#27618); #1021=PLANE('',#27619); #1022=PLANE('',#27620); #1023=PLANE('',#27621); #1024=PLANE('',#27622); #1025=PLANE('',#27623); #1026=PLANE('',#27624); #1027=PLANE('',#27625); #1028=PLANE('',#27626); #1029=PLANE('',#27630); #1030=PLANE('',#27631); #1031=PLANE('',#27632); #1032=PLANE('',#27636); #1033=PLANE('',#27637); #1034=PLANE('',#27638); #1035=PLANE('',#27639); #1036=PLANE('',#27640); #1037=PLANE('',#27641); #1038=PLANE('',#27642); #1039=PLANE('',#27643); #1040=PLANE('',#27644); #1041=PLANE('',#27645); #1042=PLANE('',#27646); #1043=PLANE('',#27650); #1044=PLANE('',#27651); #1045=PLANE('',#27652); #1046=PLANE('',#27653); #1047=PLANE('',#27657); #1048=PLANE('',#27658); #1049=PLANE('',#27659); #1050=PLANE('',#27660); #1051=PLANE('',#27661); #1052=PLANE('',#27662); #1053=PLANE('',#27663); #1054=PLANE('',#27664); #1055=PLANE('',#27665); #1056=PLANE('',#27666); #1057=PLANE('',#27670); #1058=PLANE('',#27671); #1059=PLANE('',#27672); #1060=PLANE('',#27676); #1061=PLANE('',#27677); #1062=PLANE('',#27678); #1063=PLANE('',#27679); #1064=PLANE('',#27680); #1065=PLANE('',#27681); #1066=PLANE('',#27682); #1067=PLANE('',#27686); #1068=PLANE('',#27687); #1069=PLANE('',#27688); #1070=PLANE('',#27689); #1071=PLANE('',#27690); #1072=PLANE('',#27694); #1073=PLANE('',#27695); #1074=PLANE('',#27696); #1075=PLANE('',#27697); #1076=PLANE('',#27701); #1077=PLANE('',#27702); #1078=PLANE('',#27703); #1079=PLANE('',#27704); #1080=PLANE('',#27705); #1081=PLANE('',#27706); #1082=PLANE('',#27707); #1083=PLANE('',#27708); #1084=PLANE('',#27709); #1085=PLANE('',#27710); #1086=PLANE('',#27714); #1087=PLANE('',#27715); #1088=PLANE('',#27716); #1089=PLANE('',#27717); #1090=PLANE('',#27718); #1091=PLANE('',#27719); #1092=PLANE('',#27720); #1093=PLANE('',#27724); #1094=PLANE('',#27725); #1095=PLANE('',#27726); #1096=PLANE('',#27727); #1097=PLANE('',#27728); #1098=PLANE('',#27729); #1099=PLANE('',#27748); #1100=PLANE('',#27749); #1101=PLANE('',#27750); #1102=PLANE('',#27751); #1103=PLANE('',#27752); #1104=PLANE('',#27756); #1105=PLANE('',#27757); #1106=PLANE('',#27758); #1107=PLANE('',#27759); #1108=PLANE('',#27760); #1109=PLANE('',#27761); #1110=PLANE('',#27762); #1111=PLANE('',#27763); #1112=PLANE('',#27764); #1113=PLANE('',#27765); #1114=PLANE('',#27766); #1115=PLANE('',#27767); #1116=PLANE('',#27768); #1117=PLANE('',#27769); #1118=PLANE('',#27773); #1119=PLANE('',#27774); #1120=PLANE('',#27775); #1121=PLANE('',#27776); #1122=PLANE('',#27777); #1123=PLANE('',#27778); #1124=PLANE('',#27779); #1125=PLANE('',#27780); #1126=PLANE('',#27781); #1127=PLANE('',#27782); #1128=PLANE('',#27783); #1129=PLANE('',#27802); #1130=PLANE('',#27803); #1131=PLANE('',#27804); #1132=PLANE('',#27805); #1133=PLANE('',#27806); #1134=PLANE('',#27807); #1135=PLANE('',#27811); #1136=PLANE('',#27815); #1137=PLANE('',#27816); #1138=PLANE('',#27817); #1139=PLANE('',#27818); #1140=PLANE('',#27819); #1141=PLANE('',#27820); #1142=PLANE('',#27839); #1143=PLANE('',#27849); #1144=PLANE('',#27850); #1145=PLANE('',#27851); #1146=PLANE('',#27852); #1147=PLANE('',#27856); #1148=PLANE('',#27857); #1149=PLANE('',#27858); #1150=PLANE('',#27859); #1151=PLANE('',#27860); #1152=PLANE('',#27861); #1153=PLANE('',#27862); #1154=PLANE('',#27863); #1155=PLANE('',#27864); #1156=PLANE('',#27865); #1157=PLANE('',#27866); #1158=PLANE('',#27867); #1159=PLANE('',#27868); #1160=PLANE('',#27869); #1161=PLANE('',#27870); #1162=PLANE('',#27871); #1163=PLANE('',#27875); #1164=PLANE('',#27882); #1165=PLANE('',#27910); #1166=PLANE('',#27914); #1167=PLANE('',#27915); #1168=PLANE('',#27919); #1169=PLANE('',#27920); #1170=PLANE('',#27924); #1171=PLANE('',#27925); #1172=PLANE('',#27944); #1173=PLANE('',#27960); #1174=PLANE('',#27961); #1175=PLANE('',#27962); #1176=PLANE('',#27963); #1177=PLANE('',#27964); #1178=PLANE('',#27965); #1179=PLANE('',#27966); #1180=PLANE('',#27970); #1181=PLANE('',#27974); #1182=PLANE('',#27975); #1183=PLANE('',#27994); #1184=PLANE('',#28010); #1185=PLANE('',#28011); #1186=PLANE('',#28012); #1187=PLANE('',#28013); #1188=PLANE('',#28028); #1189=PLANE('',#28029); #1190=PLANE('',#28058); #1191=PLANE('',#28059); #1192=PLANE('',#28060); #1193=PLANE('',#28061); #1194=PLANE('',#28062); #1195=PLANE('',#28063); #1196=PLANE('',#28064); #1197=PLANE('',#28065); #1198=PLANE('',#28066); #1199=PLANE('',#28067); #1200=PLANE('',#28068); #1201=PLANE('',#28069); #1202=PLANE('',#28070); #1203=PLANE('',#28071); #1204=PLANE('',#28072); #1205=PLANE('',#28073); #1206=PLANE('',#28074); #1207=PLANE('',#28075); #1208=PLANE('',#28076); #1209=PLANE('',#28077); #1210=PLANE('',#28078); #1211=PLANE('',#28079); #1212=PLANE('',#28080); #1213=PLANE('',#28081); #1214=PLANE('',#28082); #1215=PLANE('',#28083); #1216=PLANE('',#28084); #1217=PLANE('',#28085); #1218=PLANE('',#28092); #1219=PLANE('',#28093); #1220=PLANE('',#28100); #1221=PLANE('',#28101); #1222=PLANE('',#28102); #1223=PLANE('',#28103); #1224=PLANE('',#28104); #1225=PLANE('',#28105); #1226=PLANE('',#28106); #1227=PLANE('',#28107); #1228=PLANE('',#28108); #1229=PLANE('',#28109); #1230=PLANE('',#28110); #1231=PLANE('',#28111); #1232=PLANE('',#28112); #1233=PLANE('',#28113); #1234=PLANE('',#28114); #1235=PLANE('',#28115); #1236=PLANE('',#28116); #1237=PLANE('',#28117); #1238=PLANE('',#28118); #1239=PLANE('',#28121); #1240=PLANE('',#28126); #1241=PLANE('',#28129); #1242=PLANE('',#28134); #1243=PLANE('',#28137); #1244=PLANE('',#28142); #1245=PLANE('',#28145); #1246=PLANE('',#28150); #1247=PLANE('',#28152); #1248=PLANE('',#28154); #1249=PLANE('',#28155); #1250=PLANE('',#28157); #1251=PLANE('',#28159); #1252=PLANE('',#28160); #1253=PLANE('',#28162); #1254=PLANE('',#28164); #1255=FACE_OUTER_BOUND('',#2563,.T.); #1256=FACE_OUTER_BOUND('',#2564,.T.); #1257=FACE_OUTER_BOUND('',#2565,.T.); #1258=FACE_OUTER_BOUND('',#2566,.T.); #1259=FACE_OUTER_BOUND('',#2567,.T.); #1260=FACE_OUTER_BOUND('',#2568,.T.); #1261=FACE_OUTER_BOUND('',#2569,.T.); #1262=FACE_OUTER_BOUND('',#2570,.T.); #1263=FACE_OUTER_BOUND('',#2571,.T.); #1264=FACE_OUTER_BOUND('',#2572,.T.); #1265=FACE_OUTER_BOUND('',#2573,.T.); #1266=FACE_OUTER_BOUND('',#2574,.T.); #1267=FACE_OUTER_BOUND('',#2575,.T.); #1268=FACE_OUTER_BOUND('',#2576,.T.); #1269=FACE_OUTER_BOUND('',#2577,.T.); #1270=FACE_OUTER_BOUND('',#2578,.T.); #1271=FACE_OUTER_BOUND('',#2579,.T.); #1272=FACE_OUTER_BOUND('',#2580,.T.); #1273=FACE_OUTER_BOUND('',#2581,.T.); #1274=FACE_OUTER_BOUND('',#2582,.T.); #1275=FACE_OUTER_BOUND('',#2583,.T.); #1276=FACE_OUTER_BOUND('',#2584,.T.); #1277=FACE_OUTER_BOUND('',#2585,.T.); #1278=FACE_OUTER_BOUND('',#2586,.T.); #1279=FACE_OUTER_BOUND('',#2587,.T.); #1280=FACE_OUTER_BOUND('',#2588,.T.); #1281=FACE_OUTER_BOUND('',#2589,.T.); #1282=FACE_OUTER_BOUND('',#2590,.T.); #1283=FACE_OUTER_BOUND('',#2591,.T.); #1284=FACE_OUTER_BOUND('',#2592,.T.); #1285=FACE_OUTER_BOUND('',#2593,.T.); #1286=FACE_OUTER_BOUND('',#2594,.T.); #1287=FACE_OUTER_BOUND('',#2595,.T.); #1288=FACE_OUTER_BOUND('',#2596,.T.); #1289=FACE_OUTER_BOUND('',#2597,.T.); #1290=FACE_OUTER_BOUND('',#2598,.T.); #1291=FACE_OUTER_BOUND('',#2599,.T.); #1292=FACE_OUTER_BOUND('',#2600,.T.); #1293=FACE_OUTER_BOUND('',#2601,.T.); #1294=FACE_OUTER_BOUND('',#2602,.T.); #1295=FACE_OUTER_BOUND('',#2603,.T.); #1296=FACE_OUTER_BOUND('',#2604,.T.); #1297=FACE_OUTER_BOUND('',#2605,.T.); #1298=FACE_OUTER_BOUND('',#2606,.T.); #1299=FACE_OUTER_BOUND('',#2607,.T.); #1300=FACE_OUTER_BOUND('',#2608,.T.); #1301=FACE_OUTER_BOUND('',#2609,.T.); #1302=FACE_OUTER_BOUND('',#2610,.T.); #1303=FACE_OUTER_BOUND('',#2611,.T.); #1304=FACE_OUTER_BOUND('',#2612,.T.); #1305=FACE_OUTER_BOUND('',#2613,.T.); #1306=FACE_OUTER_BOUND('',#2614,.T.); #1307=FACE_OUTER_BOUND('',#2615,.T.); #1308=FACE_OUTER_BOUND('',#2616,.T.); #1309=FACE_OUTER_BOUND('',#2617,.T.); #1310=FACE_OUTER_BOUND('',#2618,.T.); #1311=FACE_OUTER_BOUND('',#2619,.T.); #1312=FACE_OUTER_BOUND('',#2620,.T.); #1313=FACE_OUTER_BOUND('',#2621,.T.); #1314=FACE_OUTER_BOUND('',#2622,.T.); #1315=FACE_OUTER_BOUND('',#2623,.T.); #1316=FACE_OUTER_BOUND('',#2624,.T.); #1317=FACE_OUTER_BOUND('',#2625,.T.); #1318=FACE_OUTER_BOUND('',#2626,.T.); #1319=FACE_OUTER_BOUND('',#2627,.T.); #1320=FACE_OUTER_BOUND('',#2628,.T.); #1321=FACE_OUTER_BOUND('',#2629,.T.); #1322=FACE_OUTER_BOUND('',#2630,.T.); #1323=FACE_OUTER_BOUND('',#2631,.T.); #1324=FACE_OUTER_BOUND('',#2632,.T.); #1325=FACE_OUTER_BOUND('',#2633,.T.); #1326=FACE_OUTER_BOUND('',#2634,.T.); #1327=FACE_OUTER_BOUND('',#2635,.T.); #1328=FACE_OUTER_BOUND('',#2636,.T.); #1329=FACE_OUTER_BOUND('',#2637,.T.); #1330=FACE_OUTER_BOUND('',#2638,.T.); #1331=FACE_OUTER_BOUND('',#2639,.T.); #1332=FACE_OUTER_BOUND('',#2640,.T.); #1333=FACE_OUTER_BOUND('',#2641,.T.); #1334=FACE_OUTER_BOUND('',#2642,.T.); #1335=FACE_OUTER_BOUND('',#2643,.T.); #1336=FACE_OUTER_BOUND('',#2644,.T.); #1337=FACE_OUTER_BOUND('',#2645,.T.); #1338=FACE_OUTER_BOUND('',#2646,.T.); #1339=FACE_OUTER_BOUND('',#2647,.T.); #1340=FACE_OUTER_BOUND('',#2648,.T.); #1341=FACE_OUTER_BOUND('',#2649,.T.); #1342=FACE_OUTER_BOUND('',#2650,.T.); #1343=FACE_OUTER_BOUND('',#2651,.T.); #1344=FACE_OUTER_BOUND('',#2652,.T.); #1345=FACE_OUTER_BOUND('',#2653,.T.); #1346=FACE_OUTER_BOUND('',#2654,.T.); #1347=FACE_OUTER_BOUND('',#2655,.T.); #1348=FACE_OUTER_BOUND('',#2656,.T.); #1349=FACE_OUTER_BOUND('',#2657,.T.); #1350=FACE_OUTER_BOUND('',#2658,.T.); #1351=FACE_OUTER_BOUND('',#2659,.T.); #1352=FACE_OUTER_BOUND('',#2660,.T.); #1353=FACE_OUTER_BOUND('',#2661,.T.); #1354=FACE_OUTER_BOUND('',#2662,.T.); #1355=FACE_OUTER_BOUND('',#2663,.T.); #1356=FACE_OUTER_BOUND('',#2664,.T.); #1357=FACE_OUTER_BOUND('',#2665,.T.); #1358=FACE_OUTER_BOUND('',#2666,.T.); #1359=FACE_OUTER_BOUND('',#2667,.T.); #1360=FACE_OUTER_BOUND('',#2668,.T.); #1361=FACE_OUTER_BOUND('',#2669,.T.); #1362=FACE_OUTER_BOUND('',#2670,.T.); #1363=FACE_OUTER_BOUND('',#2671,.T.); #1364=FACE_OUTER_BOUND('',#2672,.T.); #1365=FACE_OUTER_BOUND('',#2673,.T.); #1366=FACE_OUTER_BOUND('',#2674,.T.); #1367=FACE_OUTER_BOUND('',#2675,.T.); #1368=FACE_OUTER_BOUND('',#2676,.T.); #1369=FACE_OUTER_BOUND('',#2677,.T.); #1370=FACE_OUTER_BOUND('',#2678,.T.); #1371=FACE_OUTER_BOUND('',#2679,.T.); #1372=FACE_OUTER_BOUND('',#2680,.T.); #1373=FACE_OUTER_BOUND('',#2681,.T.); #1374=FACE_OUTER_BOUND('',#2682,.T.); #1375=FACE_OUTER_BOUND('',#2683,.T.); #1376=FACE_OUTER_BOUND('',#2684,.T.); #1377=FACE_OUTER_BOUND('',#2685,.T.); #1378=FACE_OUTER_BOUND('',#2686,.T.); #1379=FACE_OUTER_BOUND('',#2687,.T.); #1380=FACE_OUTER_BOUND('',#2688,.T.); #1381=FACE_OUTER_BOUND('',#2689,.T.); #1382=FACE_OUTER_BOUND('',#2690,.T.); #1383=FACE_OUTER_BOUND('',#2691,.T.); #1384=FACE_OUTER_BOUND('',#2692,.T.); #1385=FACE_OUTER_BOUND('',#2693,.T.); #1386=FACE_OUTER_BOUND('',#2694,.T.); #1387=FACE_OUTER_BOUND('',#2695,.T.); #1388=FACE_OUTER_BOUND('',#2696,.T.); #1389=FACE_OUTER_BOUND('',#2697,.T.); #1390=FACE_OUTER_BOUND('',#2698,.T.); #1391=FACE_OUTER_BOUND('',#2699,.T.); #1392=FACE_OUTER_BOUND('',#2700,.T.); #1393=FACE_OUTER_BOUND('',#2701,.T.); #1394=FACE_OUTER_BOUND('',#2702,.T.); #1395=FACE_OUTER_BOUND('',#2703,.T.); #1396=FACE_OUTER_BOUND('',#2704,.T.); #1397=FACE_OUTER_BOUND('',#2705,.T.); #1398=FACE_OUTER_BOUND('',#2706,.T.); #1399=FACE_OUTER_BOUND('',#2707,.T.); #1400=FACE_OUTER_BOUND('',#2708,.T.); #1401=FACE_OUTER_BOUND('',#2709,.T.); #1402=FACE_OUTER_BOUND('',#2710,.T.); #1403=FACE_OUTER_BOUND('',#2711,.T.); #1404=FACE_OUTER_BOUND('',#2712,.T.); #1405=FACE_OUTER_BOUND('',#2713,.T.); #1406=FACE_OUTER_BOUND('',#2714,.T.); #1407=FACE_OUTER_BOUND('',#2715,.T.); #1408=FACE_OUTER_BOUND('',#2716,.T.); #1409=FACE_OUTER_BOUND('',#2717,.T.); #1410=FACE_OUTER_BOUND('',#2718,.T.); #1411=FACE_OUTER_BOUND('',#2719,.T.); #1412=FACE_OUTER_BOUND('',#2720,.T.); #1413=FACE_OUTER_BOUND('',#2721,.T.); #1414=FACE_OUTER_BOUND('',#2722,.T.); #1415=FACE_OUTER_BOUND('',#2723,.T.); #1416=FACE_OUTER_BOUND('',#2724,.T.); #1417=FACE_OUTER_BOUND('',#2725,.T.); #1418=FACE_OUTER_BOUND('',#2726,.T.); #1419=FACE_OUTER_BOUND('',#2727,.T.); #1420=FACE_OUTER_BOUND('',#2728,.T.); #1421=FACE_OUTER_BOUND('',#2729,.T.); #1422=FACE_OUTER_BOUND('',#2730,.T.); #1423=FACE_OUTER_BOUND('',#2731,.T.); #1424=FACE_OUTER_BOUND('',#2732,.T.); #1425=FACE_OUTER_BOUND('',#2733,.T.); #1426=FACE_OUTER_BOUND('',#2734,.T.); #1427=FACE_OUTER_BOUND('',#2735,.T.); #1428=FACE_OUTER_BOUND('',#2736,.T.); #1429=FACE_OUTER_BOUND('',#2737,.T.); #1430=FACE_OUTER_BOUND('',#2738,.T.); #1431=FACE_OUTER_BOUND('',#2739,.T.); #1432=FACE_OUTER_BOUND('',#2740,.T.); #1433=FACE_OUTER_BOUND('',#2741,.T.); #1434=FACE_OUTER_BOUND('',#2742,.T.); #1435=FACE_OUTER_BOUND('',#2743,.T.); #1436=FACE_OUTER_BOUND('',#2744,.T.); #1437=FACE_OUTER_BOUND('',#2745,.T.); #1438=FACE_OUTER_BOUND('',#2746,.T.); #1439=FACE_OUTER_BOUND('',#2747,.T.); #1440=FACE_OUTER_BOUND('',#2748,.T.); #1441=FACE_OUTER_BOUND('',#2749,.T.); #1442=FACE_OUTER_BOUND('',#2750,.T.); #1443=FACE_OUTER_BOUND('',#2751,.T.); #1444=FACE_OUTER_BOUND('',#2752,.T.); #1445=FACE_OUTER_BOUND('',#2753,.T.); #1446=FACE_OUTER_BOUND('',#2754,.T.); #1447=FACE_OUTER_BOUND('',#2756,.T.); #1448=FACE_OUTER_BOUND('',#2757,.T.); #1449=FACE_OUTER_BOUND('',#2758,.T.); #1450=FACE_OUTER_BOUND('',#2759,.T.); #1451=FACE_OUTER_BOUND('',#2760,.T.); #1452=FACE_OUTER_BOUND('',#2761,.T.); #1453=FACE_OUTER_BOUND('',#2762,.T.); #1454=FACE_OUTER_BOUND('',#2763,.T.); #1455=FACE_OUTER_BOUND('',#2764,.T.); #1456=FACE_OUTER_BOUND('',#2765,.T.); #1457=FACE_OUTER_BOUND('',#2766,.T.); #1458=FACE_OUTER_BOUND('',#2767,.T.); #1459=FACE_OUTER_BOUND('',#2768,.T.); #1460=FACE_OUTER_BOUND('',#2769,.T.); #1461=FACE_OUTER_BOUND('',#2770,.T.); #1462=FACE_OUTER_BOUND('',#2771,.T.); #1463=FACE_OUTER_BOUND('',#2772,.T.); #1464=FACE_OUTER_BOUND('',#2773,.T.); #1465=FACE_OUTER_BOUND('',#2774,.T.); #1466=FACE_OUTER_BOUND('',#2775,.T.); #1467=FACE_OUTER_BOUND('',#2776,.T.); #1468=FACE_OUTER_BOUND('',#2777,.T.); #1469=FACE_OUTER_BOUND('',#2778,.T.); #1470=FACE_OUTER_BOUND('',#2779,.T.); #1471=FACE_OUTER_BOUND('',#2780,.T.); #1472=FACE_OUTER_BOUND('',#2781,.T.); #1473=FACE_OUTER_BOUND('',#2782,.T.); #1474=FACE_OUTER_BOUND('',#2783,.T.); #1475=FACE_OUTER_BOUND('',#2784,.T.); #1476=FACE_OUTER_BOUND('',#2785,.T.); #1477=FACE_OUTER_BOUND('',#2786,.T.); #1478=FACE_OUTER_BOUND('',#2787,.T.); #1479=FACE_OUTER_BOUND('',#2788,.T.); #1480=FACE_OUTER_BOUND('',#2789,.T.); #1481=FACE_OUTER_BOUND('',#2790,.T.); #1482=FACE_OUTER_BOUND('',#2791,.T.); #1483=FACE_OUTER_BOUND('',#2792,.T.); #1484=FACE_OUTER_BOUND('',#2793,.T.); #1485=FACE_OUTER_BOUND('',#2794,.T.); #1486=FACE_OUTER_BOUND('',#2795,.T.); #1487=FACE_OUTER_BOUND('',#2796,.T.); #1488=FACE_OUTER_BOUND('',#2797,.T.); #1489=FACE_OUTER_BOUND('',#2798,.T.); #1490=FACE_OUTER_BOUND('',#2799,.T.); #1491=FACE_OUTER_BOUND('',#2800,.T.); #1492=FACE_OUTER_BOUND('',#2801,.T.); #1493=FACE_OUTER_BOUND('',#2802,.T.); #1494=FACE_OUTER_BOUND('',#2803,.T.); #1495=FACE_OUTER_BOUND('',#2804,.T.); #1496=FACE_OUTER_BOUND('',#2805,.T.); #1497=FACE_OUTER_BOUND('',#2806,.T.); #1498=FACE_OUTER_BOUND('',#2807,.T.); #1499=FACE_OUTER_BOUND('',#2808,.T.); #1500=FACE_OUTER_BOUND('',#2809,.T.); #1501=FACE_OUTER_BOUND('',#2810,.T.); #1502=FACE_OUTER_BOUND('',#2811,.T.); #1503=FACE_OUTER_BOUND('',#2812,.T.); #1504=FACE_OUTER_BOUND('',#2813,.T.); #1505=FACE_OUTER_BOUND('',#2814,.T.); #1506=FACE_OUTER_BOUND('',#2815,.T.); #1507=FACE_OUTER_BOUND('',#2816,.T.); #1508=FACE_OUTER_BOUND('',#2817,.T.); #1509=FACE_OUTER_BOUND('',#2818,.T.); #1510=FACE_OUTER_BOUND('',#2819,.T.); #1511=FACE_OUTER_BOUND('',#2820,.T.); #1512=FACE_OUTER_BOUND('',#2821,.T.); #1513=FACE_OUTER_BOUND('',#2822,.T.); #1514=FACE_OUTER_BOUND('',#2823,.T.); #1515=FACE_OUTER_BOUND('',#2824,.T.); #1516=FACE_OUTER_BOUND('',#2825,.T.); #1517=FACE_OUTER_BOUND('',#2826,.T.); #1518=FACE_OUTER_BOUND('',#2827,.T.); #1519=FACE_OUTER_BOUND('',#2828,.T.); #1520=FACE_OUTER_BOUND('',#2829,.T.); #1521=FACE_OUTER_BOUND('',#2830,.T.); #1522=FACE_OUTER_BOUND('',#2831,.T.); #1523=FACE_OUTER_BOUND('',#2832,.T.); #1524=FACE_OUTER_BOUND('',#2833,.T.); #1525=FACE_OUTER_BOUND('',#2834,.T.); #1526=FACE_OUTER_BOUND('',#2835,.T.); #1527=FACE_OUTER_BOUND('',#2836,.T.); #1528=FACE_OUTER_BOUND('',#2837,.T.); #1529=FACE_OUTER_BOUND('',#2838,.T.); #1530=FACE_OUTER_BOUND('',#2839,.T.); #1531=FACE_OUTER_BOUND('',#2840,.T.); #1532=FACE_OUTER_BOUND('',#2841,.T.); #1533=FACE_OUTER_BOUND('',#2842,.T.); #1534=FACE_OUTER_BOUND('',#2843,.T.); #1535=FACE_OUTER_BOUND('',#2844,.T.); #1536=FACE_OUTER_BOUND('',#2845,.T.); #1537=FACE_OUTER_BOUND('',#2846,.T.); #1538=FACE_OUTER_BOUND('',#2847,.T.); #1539=FACE_OUTER_BOUND('',#2848,.T.); #1540=FACE_OUTER_BOUND('',#2849,.T.); #1541=FACE_OUTER_BOUND('',#2850,.T.); #1542=FACE_OUTER_BOUND('',#2851,.T.); #1543=FACE_OUTER_BOUND('',#2852,.T.); #1544=FACE_OUTER_BOUND('',#2853,.T.); #1545=FACE_OUTER_BOUND('',#2854,.T.); #1546=FACE_OUTER_BOUND('',#2855,.T.); #1547=FACE_OUTER_BOUND('',#2856,.T.); #1548=FACE_OUTER_BOUND('',#2857,.T.); #1549=FACE_OUTER_BOUND('',#2858,.T.); #1550=FACE_OUTER_BOUND('',#2859,.T.); #1551=FACE_OUTER_BOUND('',#2860,.T.); #1552=FACE_OUTER_BOUND('',#2861,.T.); #1553=FACE_OUTER_BOUND('',#2862,.T.); #1554=FACE_OUTER_BOUND('',#2863,.T.); #1555=FACE_OUTER_BOUND('',#2864,.T.); #1556=FACE_OUTER_BOUND('',#2865,.T.); #1557=FACE_OUTER_BOUND('',#2866,.T.); #1558=FACE_OUTER_BOUND('',#2867,.T.); #1559=FACE_OUTER_BOUND('',#2868,.T.); #1560=FACE_OUTER_BOUND('',#2869,.T.); #1561=FACE_OUTER_BOUND('',#2870,.T.); #1562=FACE_OUTER_BOUND('',#2871,.T.); #1563=FACE_OUTER_BOUND('',#2872,.T.); #1564=FACE_OUTER_BOUND('',#2873,.T.); #1565=FACE_OUTER_BOUND('',#2874,.T.); #1566=FACE_OUTER_BOUND('',#2875,.T.); #1567=FACE_OUTER_BOUND('',#2876,.T.); #1568=FACE_OUTER_BOUND('',#2877,.T.); #1569=FACE_OUTER_BOUND('',#2878,.T.); #1570=FACE_OUTER_BOUND('',#2879,.T.); #1571=FACE_OUTER_BOUND('',#2880,.T.); #1572=FACE_OUTER_BOUND('',#2881,.T.); #1573=FACE_OUTER_BOUND('',#2882,.T.); #1574=FACE_OUTER_BOUND('',#2883,.T.); #1575=FACE_OUTER_BOUND('',#2884,.T.); #1576=FACE_OUTER_BOUND('',#2885,.T.); #1577=FACE_OUTER_BOUND('',#2886,.T.); #1578=FACE_OUTER_BOUND('',#2887,.T.); #1579=FACE_OUTER_BOUND('',#2888,.T.); #1580=FACE_OUTER_BOUND('',#2889,.T.); #1581=FACE_OUTER_BOUND('',#2890,.T.); #1582=FACE_OUTER_BOUND('',#2891,.T.); #1583=FACE_OUTER_BOUND('',#2892,.T.); #1584=FACE_OUTER_BOUND('',#2893,.T.); #1585=FACE_OUTER_BOUND('',#2894,.T.); #1586=FACE_OUTER_BOUND('',#2895,.T.); #1587=FACE_OUTER_BOUND('',#2896,.T.); #1588=FACE_OUTER_BOUND('',#2897,.T.); #1589=FACE_OUTER_BOUND('',#2898,.T.); #1590=FACE_OUTER_BOUND('',#2899,.T.); #1591=FACE_OUTER_BOUND('',#2900,.T.); #1592=FACE_OUTER_BOUND('',#2901,.T.); #1593=FACE_OUTER_BOUND('',#2902,.T.); #1594=FACE_OUTER_BOUND('',#2903,.T.); #1595=FACE_OUTER_BOUND('',#2904,.T.); #1596=FACE_OUTER_BOUND('',#2905,.T.); #1597=FACE_OUTER_BOUND('',#2906,.T.); #1598=FACE_OUTER_BOUND('',#2907,.T.); #1599=FACE_OUTER_BOUND('',#2908,.T.); #1600=FACE_OUTER_BOUND('',#2909,.T.); #1601=FACE_OUTER_BOUND('',#2910,.T.); #1602=FACE_OUTER_BOUND('',#2911,.T.); #1603=FACE_OUTER_BOUND('',#2912,.T.); #1604=FACE_OUTER_BOUND('',#2913,.T.); #1605=FACE_OUTER_BOUND('',#2914,.T.); #1606=FACE_OUTER_BOUND('',#2915,.T.); #1607=FACE_OUTER_BOUND('',#2916,.T.); #1608=FACE_OUTER_BOUND('',#2917,.T.); #1609=FACE_OUTER_BOUND('',#2918,.T.); #1610=FACE_OUTER_BOUND('',#2919,.T.); #1611=FACE_OUTER_BOUND('',#2920,.T.); #1612=FACE_OUTER_BOUND('',#2921,.T.); #1613=FACE_OUTER_BOUND('',#2922,.T.); #1614=FACE_OUTER_BOUND('',#2923,.T.); #1615=FACE_OUTER_BOUND('',#2924,.T.); #1616=FACE_OUTER_BOUND('',#2925,.T.); #1617=FACE_OUTER_BOUND('',#2926,.T.); #1618=FACE_OUTER_BOUND('',#2927,.T.); #1619=FACE_OUTER_BOUND('',#2928,.T.); #1620=FACE_OUTER_BOUND('',#2929,.T.); #1621=FACE_OUTER_BOUND('',#2930,.T.); #1622=FACE_OUTER_BOUND('',#2931,.T.); #1623=FACE_OUTER_BOUND('',#2932,.T.); #1624=FACE_OUTER_BOUND('',#2933,.T.); #1625=FACE_OUTER_BOUND('',#2934,.T.); #1626=FACE_OUTER_BOUND('',#2935,.T.); #1627=FACE_OUTER_BOUND('',#2936,.T.); #1628=FACE_OUTER_BOUND('',#2937,.T.); #1629=FACE_OUTER_BOUND('',#2938,.T.); #1630=FACE_OUTER_BOUND('',#2939,.T.); #1631=FACE_OUTER_BOUND('',#2940,.T.); #1632=FACE_OUTER_BOUND('',#2941,.T.); #1633=FACE_OUTER_BOUND('',#2942,.T.); #1634=FACE_OUTER_BOUND('',#2943,.T.); #1635=FACE_OUTER_BOUND('',#2944,.T.); #1636=FACE_OUTER_BOUND('',#2945,.T.); #1637=FACE_OUTER_BOUND('',#2946,.T.); #1638=FACE_OUTER_BOUND('',#2947,.T.); #1639=FACE_OUTER_BOUND('',#2948,.T.); #1640=FACE_OUTER_BOUND('',#2949,.T.); #1641=FACE_OUTER_BOUND('',#2950,.T.); #1642=FACE_OUTER_BOUND('',#2951,.T.); #1643=FACE_OUTER_BOUND('',#2953,.T.); #1644=FACE_OUTER_BOUND('',#2954,.T.); #1645=FACE_OUTER_BOUND('',#2955,.T.); #1646=FACE_OUTER_BOUND('',#2956,.T.); #1647=FACE_OUTER_BOUND('',#2957,.T.); #1648=FACE_OUTER_BOUND('',#2958,.T.); #1649=FACE_OUTER_BOUND('',#2959,.T.); #1650=FACE_OUTER_BOUND('',#2960,.T.); #1651=FACE_OUTER_BOUND('',#2961,.T.); #1652=FACE_OUTER_BOUND('',#2962,.T.); #1653=FACE_OUTER_BOUND('',#2963,.T.); #1654=FACE_OUTER_BOUND('',#2964,.T.); #1655=FACE_OUTER_BOUND('',#2965,.T.); #1656=FACE_OUTER_BOUND('',#2966,.T.); #1657=FACE_OUTER_BOUND('',#2967,.T.); #1658=FACE_OUTER_BOUND('',#2968,.T.); #1659=FACE_OUTER_BOUND('',#2969,.T.); #1660=FACE_OUTER_BOUND('',#2970,.T.); #1661=FACE_OUTER_BOUND('',#2971,.T.); #1662=FACE_OUTER_BOUND('',#2972,.T.); #1663=FACE_OUTER_BOUND('',#2973,.T.); #1664=FACE_OUTER_BOUND('',#2974,.T.); #1665=FACE_OUTER_BOUND('',#2975,.T.); #1666=FACE_OUTER_BOUND('',#2976,.T.); #1667=FACE_OUTER_BOUND('',#2977,.T.); #1668=FACE_OUTER_BOUND('',#2978,.T.); #1669=FACE_OUTER_BOUND('',#2979,.T.); #1670=FACE_OUTER_BOUND('',#2980,.T.); #1671=FACE_OUTER_BOUND('',#2981,.T.); #1672=FACE_OUTER_BOUND('',#2982,.T.); #1673=FACE_OUTER_BOUND('',#2983,.T.); #1674=FACE_OUTER_BOUND('',#2984,.T.); #1675=FACE_OUTER_BOUND('',#2985,.T.); #1676=FACE_OUTER_BOUND('',#2986,.T.); #1677=FACE_OUTER_BOUND('',#2987,.T.); #1678=FACE_OUTER_BOUND('',#2988,.T.); #1679=FACE_OUTER_BOUND('',#2989,.T.); #1680=FACE_OUTER_BOUND('',#2990,.T.); #1681=FACE_OUTER_BOUND('',#2991,.T.); #1682=FACE_OUTER_BOUND('',#2992,.T.); #1683=FACE_OUTER_BOUND('',#2993,.T.); #1684=FACE_OUTER_BOUND('',#2994,.T.); #1685=FACE_OUTER_BOUND('',#2995,.T.); #1686=FACE_OUTER_BOUND('',#2996,.T.); #1687=FACE_OUTER_BOUND('',#2997,.T.); #1688=FACE_OUTER_BOUND('',#2998,.T.); #1689=FACE_OUTER_BOUND('',#2999,.T.); #1690=FACE_OUTER_BOUND('',#3000,.T.); #1691=FACE_OUTER_BOUND('',#3001,.T.); #1692=FACE_OUTER_BOUND('',#3002,.T.); #1693=FACE_OUTER_BOUND('',#3003,.T.); #1694=FACE_OUTER_BOUND('',#3004,.T.); #1695=FACE_OUTER_BOUND('',#3005,.T.); #1696=FACE_OUTER_BOUND('',#3006,.T.); #1697=FACE_OUTER_BOUND('',#3007,.T.); #1698=FACE_OUTER_BOUND('',#3008,.T.); #1699=FACE_OUTER_BOUND('',#3009,.T.); #1700=FACE_OUTER_BOUND('',#3010,.T.); #1701=FACE_OUTER_BOUND('',#3011,.T.); #1702=FACE_OUTER_BOUND('',#3012,.T.); #1703=FACE_OUTER_BOUND('',#3013,.T.); #1704=FACE_OUTER_BOUND('',#3014,.T.); #1705=FACE_OUTER_BOUND('',#3015,.T.); #1706=FACE_OUTER_BOUND('',#3016,.T.); #1707=FACE_OUTER_BOUND('',#3017,.T.); #1708=FACE_OUTER_BOUND('',#3018,.T.); #1709=FACE_OUTER_BOUND('',#3019,.T.); #1710=FACE_OUTER_BOUND('',#3020,.T.); #1711=FACE_OUTER_BOUND('',#3021,.T.); #1712=FACE_OUTER_BOUND('',#3022,.T.); #1713=FACE_OUTER_BOUND('',#3023,.T.); #1714=FACE_OUTER_BOUND('',#3024,.T.); #1715=FACE_OUTER_BOUND('',#3025,.T.); #1716=FACE_OUTER_BOUND('',#3026,.T.); #1717=FACE_OUTER_BOUND('',#3027,.T.); #1718=FACE_OUTER_BOUND('',#3028,.T.); #1719=FACE_OUTER_BOUND('',#3029,.T.); #1720=FACE_OUTER_BOUND('',#3030,.T.); #1721=FACE_OUTER_BOUND('',#3031,.T.); #1722=FACE_OUTER_BOUND('',#3032,.T.); #1723=FACE_OUTER_BOUND('',#3033,.T.); #1724=FACE_OUTER_BOUND('',#3034,.T.); #1725=FACE_OUTER_BOUND('',#3035,.T.); #1726=FACE_OUTER_BOUND('',#3036,.T.); #1727=FACE_OUTER_BOUND('',#3037,.T.); #1728=FACE_OUTER_BOUND('',#3038,.T.); #1729=FACE_OUTER_BOUND('',#3039,.T.); #1730=FACE_OUTER_BOUND('',#3040,.T.); #1731=FACE_OUTER_BOUND('',#3041,.T.); #1732=FACE_OUTER_BOUND('',#3042,.T.); #1733=FACE_OUTER_BOUND('',#3043,.T.); #1734=FACE_OUTER_BOUND('',#3044,.T.); #1735=FACE_OUTER_BOUND('',#3045,.T.); #1736=FACE_OUTER_BOUND('',#3046,.T.); #1737=FACE_OUTER_BOUND('',#3047,.T.); #1738=FACE_OUTER_BOUND('',#3048,.T.); #1739=FACE_OUTER_BOUND('',#3049,.T.); #1740=FACE_OUTER_BOUND('',#3051,.T.); #1741=FACE_OUTER_BOUND('',#3052,.T.); #1742=FACE_OUTER_BOUND('',#3053,.T.); #1743=FACE_OUTER_BOUND('',#3054,.T.); #1744=FACE_OUTER_BOUND('',#3055,.T.); #1745=FACE_OUTER_BOUND('',#3056,.T.); #1746=FACE_OUTER_BOUND('',#3057,.T.); #1747=FACE_OUTER_BOUND('',#3058,.T.); #1748=FACE_OUTER_BOUND('',#3059,.T.); #1749=FACE_OUTER_BOUND('',#3060,.T.); #1750=FACE_OUTER_BOUND('',#3061,.T.); #1751=FACE_OUTER_BOUND('',#3062,.T.); #1752=FACE_OUTER_BOUND('',#3063,.T.); #1753=FACE_OUTER_BOUND('',#3064,.T.); #1754=FACE_OUTER_BOUND('',#3065,.T.); #1755=FACE_OUTER_BOUND('',#3066,.T.); #1756=FACE_OUTER_BOUND('',#3067,.T.); #1757=FACE_OUTER_BOUND('',#3068,.T.); #1758=FACE_OUTER_BOUND('',#3069,.T.); #1759=FACE_OUTER_BOUND('',#3070,.T.); #1760=FACE_OUTER_BOUND('',#3071,.T.); #1761=FACE_OUTER_BOUND('',#3072,.T.); #1762=FACE_OUTER_BOUND('',#3073,.T.); #1763=FACE_OUTER_BOUND('',#3074,.T.); #1764=FACE_OUTER_BOUND('',#3075,.T.); #1765=FACE_OUTER_BOUND('',#3076,.T.); #1766=FACE_OUTER_BOUND('',#3077,.T.); #1767=FACE_OUTER_BOUND('',#3078,.T.); #1768=FACE_OUTER_BOUND('',#3079,.T.); #1769=FACE_OUTER_BOUND('',#3080,.T.); #1770=FACE_OUTER_BOUND('',#3081,.T.); #1771=FACE_OUTER_BOUND('',#3082,.T.); #1772=FACE_OUTER_BOUND('',#3083,.T.); #1773=FACE_OUTER_BOUND('',#3084,.T.); #1774=FACE_OUTER_BOUND('',#3085,.T.); #1775=FACE_OUTER_BOUND('',#3086,.T.); #1776=FACE_OUTER_BOUND('',#3087,.T.); #1777=FACE_OUTER_BOUND('',#3088,.T.); #1778=FACE_OUTER_BOUND('',#3089,.T.); #1779=FACE_OUTER_BOUND('',#3090,.T.); #1780=FACE_OUTER_BOUND('',#3091,.T.); #1781=FACE_OUTER_BOUND('',#3092,.T.); #1782=FACE_OUTER_BOUND('',#3093,.T.); #1783=FACE_OUTER_BOUND('',#3094,.T.); #1784=FACE_OUTER_BOUND('',#3095,.T.); #1785=FACE_OUTER_BOUND('',#3096,.T.); #1786=FACE_OUTER_BOUND('',#3097,.T.); #1787=FACE_OUTER_BOUND('',#3098,.T.); #1788=FACE_OUTER_BOUND('',#3099,.T.); #1789=FACE_OUTER_BOUND('',#3100,.T.); #1790=FACE_OUTER_BOUND('',#3101,.T.); #1791=FACE_OUTER_BOUND('',#3102,.T.); #1792=FACE_OUTER_BOUND('',#3103,.T.); #1793=FACE_OUTER_BOUND('',#3104,.T.); #1794=FACE_OUTER_BOUND('',#3105,.T.); #1795=FACE_OUTER_BOUND('',#3106,.T.); #1796=FACE_OUTER_BOUND('',#3107,.T.); #1797=FACE_OUTER_BOUND('',#3108,.T.); #1798=FACE_OUTER_BOUND('',#3109,.T.); #1799=FACE_OUTER_BOUND('',#3110,.T.); #1800=FACE_OUTER_BOUND('',#3111,.T.); #1801=FACE_OUTER_BOUND('',#3112,.T.); #1802=FACE_OUTER_BOUND('',#3113,.T.); #1803=FACE_OUTER_BOUND('',#3114,.T.); #1804=FACE_OUTER_BOUND('',#3115,.T.); #1805=FACE_OUTER_BOUND('',#3116,.T.); #1806=FACE_OUTER_BOUND('',#3117,.T.); #1807=FACE_OUTER_BOUND('',#3118,.T.); #1808=FACE_OUTER_BOUND('',#3119,.T.); #1809=FACE_OUTER_BOUND('',#3120,.T.); #1810=FACE_OUTER_BOUND('',#3121,.T.); #1811=FACE_OUTER_BOUND('',#3122,.T.); #1812=FACE_OUTER_BOUND('',#3123,.T.); #1813=FACE_OUTER_BOUND('',#3124,.T.); #1814=FACE_OUTER_BOUND('',#3125,.T.); #1815=FACE_OUTER_BOUND('',#3126,.T.); #1816=FACE_OUTER_BOUND('',#3127,.T.); #1817=FACE_OUTER_BOUND('',#3128,.T.); #1818=FACE_OUTER_BOUND('',#3129,.T.); #1819=FACE_OUTER_BOUND('',#3130,.T.); #1820=FACE_OUTER_BOUND('',#3131,.T.); #1821=FACE_OUTER_BOUND('',#3132,.T.); #1822=FACE_OUTER_BOUND('',#3133,.T.); #1823=FACE_OUTER_BOUND('',#3134,.T.); #1824=FACE_OUTER_BOUND('',#3135,.T.); #1825=FACE_OUTER_BOUND('',#3136,.T.); #1826=FACE_OUTER_BOUND('',#3137,.T.); #1827=FACE_OUTER_BOUND('',#3138,.T.); #1828=FACE_OUTER_BOUND('',#3139,.T.); #1829=FACE_OUTER_BOUND('',#3140,.T.); #1830=FACE_OUTER_BOUND('',#3141,.T.); #1831=FACE_OUTER_BOUND('',#3142,.T.); #1832=FACE_OUTER_BOUND('',#3143,.T.); #1833=FACE_OUTER_BOUND('',#3144,.T.); #1834=FACE_OUTER_BOUND('',#3145,.T.); #1835=FACE_OUTER_BOUND('',#3146,.T.); #1836=FACE_OUTER_BOUND('',#3147,.T.); #1837=FACE_OUTER_BOUND('',#3148,.T.); #1838=FACE_OUTER_BOUND('',#3149,.T.); #1839=FACE_OUTER_BOUND('',#3150,.T.); #1840=FACE_OUTER_BOUND('',#3151,.T.); #1841=FACE_OUTER_BOUND('',#3152,.T.); #1842=FACE_OUTER_BOUND('',#3153,.T.); #1843=FACE_OUTER_BOUND('',#3154,.T.); #1844=FACE_OUTER_BOUND('',#3155,.T.); #1845=FACE_OUTER_BOUND('',#3156,.T.); #1846=FACE_OUTER_BOUND('',#3157,.T.); #1847=FACE_OUTER_BOUND('',#3158,.T.); #1848=FACE_OUTER_BOUND('',#3159,.T.); #1849=FACE_OUTER_BOUND('',#3160,.T.); #1850=FACE_OUTER_BOUND('',#3161,.T.); #1851=FACE_OUTER_BOUND('',#3162,.T.); #1852=FACE_OUTER_BOUND('',#3163,.T.); #1853=FACE_OUTER_BOUND('',#3164,.T.); #1854=FACE_OUTER_BOUND('',#3165,.T.); #1855=FACE_OUTER_BOUND('',#3166,.T.); #1856=FACE_OUTER_BOUND('',#3167,.T.); #1857=FACE_OUTER_BOUND('',#3168,.T.); #1858=FACE_OUTER_BOUND('',#3169,.T.); #1859=FACE_OUTER_BOUND('',#3170,.T.); #1860=FACE_OUTER_BOUND('',#3171,.T.); #1861=FACE_OUTER_BOUND('',#3172,.T.); #1862=FACE_OUTER_BOUND('',#3173,.T.); #1863=FACE_OUTER_BOUND('',#3174,.T.); #1864=FACE_OUTER_BOUND('',#3175,.T.); #1865=FACE_OUTER_BOUND('',#3176,.T.); #1866=FACE_OUTER_BOUND('',#3177,.T.); #1867=FACE_OUTER_BOUND('',#3178,.T.); #1868=FACE_OUTER_BOUND('',#3179,.T.); #1869=FACE_OUTER_BOUND('',#3180,.T.); #1870=FACE_OUTER_BOUND('',#3181,.T.); #1871=FACE_OUTER_BOUND('',#3182,.T.); #1872=FACE_OUTER_BOUND('',#3183,.T.); #1873=FACE_OUTER_BOUND('',#3184,.T.); #1874=FACE_OUTER_BOUND('',#3185,.T.); #1875=FACE_OUTER_BOUND('',#3186,.T.); #1876=FACE_OUTER_BOUND('',#3187,.T.); #1877=FACE_OUTER_BOUND('',#3188,.T.); #1878=FACE_OUTER_BOUND('',#3189,.T.); #1879=FACE_OUTER_BOUND('',#3190,.T.); #1880=FACE_OUTER_BOUND('',#3191,.T.); #1881=FACE_OUTER_BOUND('',#3192,.T.); #1882=FACE_OUTER_BOUND('',#3193,.T.); #1883=FACE_OUTER_BOUND('',#3194,.T.); #1884=FACE_OUTER_BOUND('',#3195,.T.); #1885=FACE_OUTER_BOUND('',#3196,.T.); #1886=FACE_OUTER_BOUND('',#3197,.T.); #1887=FACE_OUTER_BOUND('',#3198,.T.); #1888=FACE_OUTER_BOUND('',#3199,.T.); #1889=FACE_OUTER_BOUND('',#3200,.T.); #1890=FACE_OUTER_BOUND('',#3201,.T.); #1891=FACE_OUTER_BOUND('',#3202,.T.); #1892=FACE_OUTER_BOUND('',#3203,.T.); #1893=FACE_OUTER_BOUND('',#3204,.T.); #1894=FACE_OUTER_BOUND('',#3205,.T.); #1895=FACE_OUTER_BOUND('',#3206,.T.); #1896=FACE_OUTER_BOUND('',#3207,.T.); #1897=FACE_OUTER_BOUND('',#3208,.T.); #1898=FACE_OUTER_BOUND('',#3209,.T.); #1899=FACE_OUTER_BOUND('',#3210,.T.); #1900=FACE_OUTER_BOUND('',#3211,.T.); #1901=FACE_OUTER_BOUND('',#3212,.T.); #1902=FACE_OUTER_BOUND('',#3213,.T.); #1903=FACE_OUTER_BOUND('',#3214,.T.); #1904=FACE_OUTER_BOUND('',#3215,.T.); #1905=FACE_OUTER_BOUND('',#3216,.T.); #1906=FACE_OUTER_BOUND('',#3217,.T.); #1907=FACE_OUTER_BOUND('',#3218,.T.); #1908=FACE_OUTER_BOUND('',#3219,.T.); #1909=FACE_OUTER_BOUND('',#3220,.T.); #1910=FACE_OUTER_BOUND('',#3221,.T.); #1911=FACE_OUTER_BOUND('',#3222,.T.); #1912=FACE_OUTER_BOUND('',#3223,.T.); #1913=FACE_OUTER_BOUND('',#3224,.T.); #1914=FACE_OUTER_BOUND('',#3225,.T.); #1915=FACE_OUTER_BOUND('',#3226,.T.); #1916=FACE_OUTER_BOUND('',#3227,.T.); #1917=FACE_OUTER_BOUND('',#3228,.T.); #1918=FACE_OUTER_BOUND('',#3229,.T.); #1919=FACE_OUTER_BOUND('',#3230,.T.); #1920=FACE_OUTER_BOUND('',#3231,.T.); #1921=FACE_OUTER_BOUND('',#3232,.T.); #1922=FACE_OUTER_BOUND('',#3233,.T.); #1923=FACE_OUTER_BOUND('',#3234,.T.); #1924=FACE_OUTER_BOUND('',#3235,.T.); #1925=FACE_OUTER_BOUND('',#3236,.T.); #1926=FACE_OUTER_BOUND('',#3237,.T.); #1927=FACE_OUTER_BOUND('',#3238,.T.); #1928=FACE_OUTER_BOUND('',#3239,.T.); #1929=FACE_OUTER_BOUND('',#3240,.T.); #1930=FACE_OUTER_BOUND('',#3241,.T.); #1931=FACE_OUTER_BOUND('',#3242,.T.); #1932=FACE_OUTER_BOUND('',#3243,.T.); #1933=FACE_OUTER_BOUND('',#3244,.T.); #1934=FACE_OUTER_BOUND('',#3245,.T.); #1935=FACE_OUTER_BOUND('',#3246,.T.); #1936=FACE_OUTER_BOUND('',#3247,.T.); #1937=FACE_OUTER_BOUND('',#3248,.T.); #1938=FACE_OUTER_BOUND('',#3249,.T.); #1939=FACE_OUTER_BOUND('',#3250,.T.); #1940=FACE_OUTER_BOUND('',#3251,.T.); #1941=FACE_OUTER_BOUND('',#3252,.T.); #1942=FACE_OUTER_BOUND('',#3253,.T.); #1943=FACE_OUTER_BOUND('',#3254,.T.); #1944=FACE_OUTER_BOUND('',#3255,.T.); #1945=FACE_OUTER_BOUND('',#3256,.T.); #1946=FACE_OUTER_BOUND('',#3257,.T.); #1947=FACE_OUTER_BOUND('',#3258,.T.); #1948=FACE_OUTER_BOUND('',#3259,.T.); #1949=FACE_OUTER_BOUND('',#3260,.T.); #1950=FACE_OUTER_BOUND('',#3261,.T.); #1951=FACE_OUTER_BOUND('',#3262,.T.); #1952=FACE_OUTER_BOUND('',#3263,.T.); #1953=FACE_OUTER_BOUND('',#3264,.T.); #1954=FACE_OUTER_BOUND('',#3265,.T.); #1955=FACE_OUTER_BOUND('',#3266,.T.); #1956=FACE_OUTER_BOUND('',#3267,.T.); #1957=FACE_OUTER_BOUND('',#3268,.T.); #1958=FACE_OUTER_BOUND('',#3269,.T.); #1959=FACE_OUTER_BOUND('',#3270,.T.); #1960=FACE_OUTER_BOUND('',#3271,.T.); #1961=FACE_OUTER_BOUND('',#3272,.T.); #1962=FACE_OUTER_BOUND('',#3273,.T.); #1963=FACE_OUTER_BOUND('',#3274,.T.); #1964=FACE_OUTER_BOUND('',#3275,.T.); #1965=FACE_OUTER_BOUND('',#3276,.T.); #1966=FACE_OUTER_BOUND('',#3277,.T.); #1967=FACE_OUTER_BOUND('',#3278,.T.); #1968=FACE_OUTER_BOUND('',#3279,.T.); #1969=FACE_OUTER_BOUND('',#3280,.T.); #1970=FACE_OUTER_BOUND('',#3281,.T.); #1971=FACE_OUTER_BOUND('',#3282,.T.); #1972=FACE_OUTER_BOUND('',#3283,.T.); #1973=FACE_OUTER_BOUND('',#3284,.T.); #1974=FACE_OUTER_BOUND('',#3285,.T.); #1975=FACE_OUTER_BOUND('',#3286,.T.); #1976=FACE_OUTER_BOUND('',#3287,.T.); #1977=FACE_OUTER_BOUND('',#3288,.T.); #1978=FACE_OUTER_BOUND('',#3289,.T.); #1979=FACE_OUTER_BOUND('',#3290,.T.); #1980=FACE_OUTER_BOUND('',#3291,.T.); #1981=FACE_OUTER_BOUND('',#3292,.T.); #1982=FACE_OUTER_BOUND('',#3293,.T.); #1983=FACE_OUTER_BOUND('',#3294,.T.); #1984=FACE_OUTER_BOUND('',#3295,.T.); #1985=FACE_OUTER_BOUND('',#3296,.T.); #1986=FACE_OUTER_BOUND('',#3297,.T.); #1987=FACE_OUTER_BOUND('',#3298,.T.); #1988=FACE_OUTER_BOUND('',#3299,.T.); #1989=FACE_OUTER_BOUND('',#3300,.T.); #1990=FACE_OUTER_BOUND('',#3301,.T.); #1991=FACE_OUTER_BOUND('',#3302,.T.); #1992=FACE_OUTER_BOUND('',#3303,.T.); #1993=FACE_OUTER_BOUND('',#3304,.T.); #1994=FACE_OUTER_BOUND('',#3305,.T.); #1995=FACE_OUTER_BOUND('',#3306,.T.); #1996=FACE_OUTER_BOUND('',#3307,.T.); #1997=FACE_OUTER_BOUND('',#3308,.T.); #1998=FACE_OUTER_BOUND('',#3309,.T.); #1999=FACE_OUTER_BOUND('',#3310,.T.); #2000=FACE_OUTER_BOUND('',#3311,.T.); #2001=FACE_OUTER_BOUND('',#3312,.T.); #2002=FACE_OUTER_BOUND('',#3313,.T.); #2003=FACE_OUTER_BOUND('',#3314,.T.); #2004=FACE_OUTER_BOUND('',#3315,.T.); #2005=FACE_OUTER_BOUND('',#3316,.T.); #2006=FACE_OUTER_BOUND('',#3317,.T.); #2007=FACE_OUTER_BOUND('',#3318,.T.); #2008=FACE_OUTER_BOUND('',#3319,.T.); #2009=FACE_OUTER_BOUND('',#3320,.T.); #2010=FACE_OUTER_BOUND('',#3321,.T.); #2011=FACE_OUTER_BOUND('',#3322,.T.); #2012=FACE_OUTER_BOUND('',#3323,.T.); #2013=FACE_OUTER_BOUND('',#3324,.T.); #2014=FACE_OUTER_BOUND('',#3325,.T.); #2015=FACE_OUTER_BOUND('',#3326,.T.); #2016=FACE_OUTER_BOUND('',#3327,.T.); #2017=FACE_OUTER_BOUND('',#3328,.T.); #2018=FACE_OUTER_BOUND('',#3329,.T.); #2019=FACE_OUTER_BOUND('',#3330,.T.); #2020=FACE_OUTER_BOUND('',#3331,.T.); #2021=FACE_OUTER_BOUND('',#3332,.T.); #2022=FACE_OUTER_BOUND('',#3333,.T.); #2023=FACE_OUTER_BOUND('',#3334,.T.); #2024=FACE_OUTER_BOUND('',#3335,.T.); #2025=FACE_OUTER_BOUND('',#3336,.T.); #2026=FACE_OUTER_BOUND('',#3337,.T.); #2027=FACE_OUTER_BOUND('',#3338,.T.); #2028=FACE_OUTER_BOUND('',#3339,.T.); #2029=FACE_OUTER_BOUND('',#3340,.T.); #2030=FACE_OUTER_BOUND('',#3341,.T.); #2031=FACE_OUTER_BOUND('',#3342,.T.); #2032=FACE_OUTER_BOUND('',#3343,.T.); #2033=FACE_OUTER_BOUND('',#3344,.T.); #2034=FACE_OUTER_BOUND('',#3345,.T.); #2035=FACE_OUTER_BOUND('',#3346,.T.); #2036=FACE_OUTER_BOUND('',#3347,.T.); #2037=FACE_OUTER_BOUND('',#3348,.T.); #2038=FACE_OUTER_BOUND('',#3349,.T.); #2039=FACE_OUTER_BOUND('',#3350,.T.); #2040=FACE_OUTER_BOUND('',#3351,.T.); #2041=FACE_OUTER_BOUND('',#3352,.T.); #2042=FACE_OUTER_BOUND('',#3353,.T.); #2043=FACE_OUTER_BOUND('',#3354,.T.); #2044=FACE_OUTER_BOUND('',#3355,.T.); #2045=FACE_OUTER_BOUND('',#3356,.T.); #2046=FACE_OUTER_BOUND('',#3357,.T.); #2047=FACE_OUTER_BOUND('',#3358,.T.); #2048=FACE_OUTER_BOUND('',#3359,.T.); #2049=FACE_OUTER_BOUND('',#3360,.T.); #2050=FACE_OUTER_BOUND('',#3361,.T.); #2051=FACE_OUTER_BOUND('',#3362,.T.); #2052=FACE_OUTER_BOUND('',#3363,.T.); #2053=FACE_OUTER_BOUND('',#3364,.T.); #2054=FACE_OUTER_BOUND('',#3365,.T.); #2055=FACE_OUTER_BOUND('',#3366,.T.); #2056=FACE_OUTER_BOUND('',#3367,.T.); #2057=FACE_OUTER_BOUND('',#3368,.T.); #2058=FACE_OUTER_BOUND('',#3369,.T.); #2059=FACE_OUTER_BOUND('',#3370,.T.); #2060=FACE_OUTER_BOUND('',#3371,.T.); #2061=FACE_OUTER_BOUND('',#3372,.T.); #2062=FACE_OUTER_BOUND('',#3373,.T.); #2063=FACE_OUTER_BOUND('',#3374,.T.); #2064=FACE_OUTER_BOUND('',#3375,.T.); #2065=FACE_OUTER_BOUND('',#3376,.T.); #2066=FACE_OUTER_BOUND('',#3377,.T.); #2067=FACE_OUTER_BOUND('',#3378,.T.); #2068=FACE_OUTER_BOUND('',#3379,.T.); #2069=FACE_OUTER_BOUND('',#3380,.T.); #2070=FACE_OUTER_BOUND('',#3381,.T.); #2071=FACE_OUTER_BOUND('',#3382,.T.); #2072=FACE_OUTER_BOUND('',#3383,.T.); #2073=FACE_OUTER_BOUND('',#3384,.T.); #2074=FACE_OUTER_BOUND('',#3385,.T.); #2075=FACE_OUTER_BOUND('',#3386,.T.); #2076=FACE_OUTER_BOUND('',#3387,.T.); #2077=FACE_OUTER_BOUND('',#3388,.T.); #2078=FACE_OUTER_BOUND('',#3389,.T.); #2079=FACE_OUTER_BOUND('',#3390,.T.); #2080=FACE_OUTER_BOUND('',#3391,.T.); #2081=FACE_OUTER_BOUND('',#3392,.T.); #2082=FACE_OUTER_BOUND('',#3393,.T.); #2083=FACE_OUTER_BOUND('',#3394,.T.); #2084=FACE_OUTER_BOUND('',#3395,.T.); #2085=FACE_OUTER_BOUND('',#3396,.T.); #2086=FACE_OUTER_BOUND('',#3397,.T.); #2087=FACE_OUTER_BOUND('',#3398,.T.); #2088=FACE_OUTER_BOUND('',#3399,.T.); #2089=FACE_OUTER_BOUND('',#3400,.T.); #2090=FACE_OUTER_BOUND('',#3401,.T.); #2091=FACE_OUTER_BOUND('',#3402,.T.); #2092=FACE_OUTER_BOUND('',#3403,.T.); #2093=FACE_OUTER_BOUND('',#3404,.T.); #2094=FACE_OUTER_BOUND('',#3405,.T.); #2095=FACE_OUTER_BOUND('',#3406,.T.); #2096=FACE_OUTER_BOUND('',#3407,.T.); #2097=FACE_OUTER_BOUND('',#3408,.T.); #2098=FACE_OUTER_BOUND('',#3409,.T.); #2099=FACE_OUTER_BOUND('',#3410,.T.); #2100=FACE_OUTER_BOUND('',#3411,.T.); #2101=FACE_OUTER_BOUND('',#3412,.T.); #2102=FACE_OUTER_BOUND('',#3413,.T.); #2103=FACE_OUTER_BOUND('',#3414,.T.); #2104=FACE_OUTER_BOUND('',#3415,.T.); #2105=FACE_OUTER_BOUND('',#3416,.T.); #2106=FACE_OUTER_BOUND('',#3417,.T.); #2107=FACE_OUTER_BOUND('',#3418,.T.); #2108=FACE_OUTER_BOUND('',#3419,.T.); #2109=FACE_OUTER_BOUND('',#3420,.T.); #2110=FACE_OUTER_BOUND('',#3422,.T.); #2111=FACE_OUTER_BOUND('',#3423,.T.); #2112=FACE_OUTER_BOUND('',#3424,.T.); #2113=FACE_OUTER_BOUND('',#3425,.T.); #2114=FACE_OUTER_BOUND('',#3426,.T.); #2115=FACE_OUTER_BOUND('',#3427,.T.); #2116=FACE_OUTER_BOUND('',#3428,.T.); #2117=FACE_OUTER_BOUND('',#3429,.T.); #2118=FACE_OUTER_BOUND('',#3430,.T.); #2119=FACE_OUTER_BOUND('',#3431,.T.); #2120=FACE_OUTER_BOUND('',#3432,.T.); #2121=FACE_OUTER_BOUND('',#3433,.T.); #2122=FACE_OUTER_BOUND('',#3434,.T.); #2123=FACE_OUTER_BOUND('',#3435,.T.); #2124=FACE_OUTER_BOUND('',#3436,.T.); #2125=FACE_OUTER_BOUND('',#3437,.T.); #2126=FACE_OUTER_BOUND('',#3438,.T.); #2127=FACE_OUTER_BOUND('',#3439,.T.); #2128=FACE_OUTER_BOUND('',#3440,.T.); #2129=FACE_OUTER_BOUND('',#3441,.T.); #2130=FACE_OUTER_BOUND('',#3442,.T.); #2131=FACE_OUTER_BOUND('',#3443,.T.); #2132=FACE_OUTER_BOUND('',#3444,.T.); #2133=FACE_OUTER_BOUND('',#3445,.T.); #2134=FACE_OUTER_BOUND('',#3446,.T.); #2135=FACE_OUTER_BOUND('',#3447,.T.); #2136=FACE_OUTER_BOUND('',#3448,.T.); #2137=FACE_OUTER_BOUND('',#3449,.T.); #2138=FACE_OUTER_BOUND('',#3450,.T.); #2139=FACE_OUTER_BOUND('',#3451,.T.); #2140=FACE_OUTER_BOUND('',#3452,.T.); #2141=FACE_OUTER_BOUND('',#3453,.T.); #2142=FACE_OUTER_BOUND('',#3454,.T.); #2143=FACE_OUTER_BOUND('',#3455,.T.); #2144=FACE_OUTER_BOUND('',#3456,.T.); #2145=FACE_OUTER_BOUND('',#3457,.T.); #2146=FACE_OUTER_BOUND('',#3458,.T.); #2147=FACE_OUTER_BOUND('',#3459,.T.); #2148=FACE_OUTER_BOUND('',#3460,.T.); #2149=FACE_OUTER_BOUND('',#3461,.T.); #2150=FACE_OUTER_BOUND('',#3462,.T.); #2151=FACE_OUTER_BOUND('',#3463,.T.); #2152=FACE_OUTER_BOUND('',#3464,.T.); #2153=FACE_OUTER_BOUND('',#3465,.T.); #2154=FACE_OUTER_BOUND('',#3466,.T.); #2155=FACE_OUTER_BOUND('',#3467,.T.); #2156=FACE_OUTER_BOUND('',#3468,.T.); #2157=FACE_OUTER_BOUND('',#3469,.T.); #2158=FACE_OUTER_BOUND('',#3470,.T.); #2159=FACE_OUTER_BOUND('',#3471,.T.); #2160=FACE_OUTER_BOUND('',#3472,.T.); #2161=FACE_OUTER_BOUND('',#3473,.T.); #2162=FACE_OUTER_BOUND('',#3474,.T.); #2163=FACE_OUTER_BOUND('',#3475,.T.); #2164=FACE_OUTER_BOUND('',#3476,.T.); #2165=FACE_OUTER_BOUND('',#3477,.T.); #2166=FACE_OUTER_BOUND('',#3478,.T.); #2167=FACE_OUTER_BOUND('',#3479,.T.); #2168=FACE_OUTER_BOUND('',#3480,.T.); #2169=FACE_OUTER_BOUND('',#3481,.T.); #2170=FACE_OUTER_BOUND('',#3482,.T.); #2171=FACE_OUTER_BOUND('',#3483,.T.); #2172=FACE_OUTER_BOUND('',#3484,.T.); #2173=FACE_OUTER_BOUND('',#3485,.T.); #2174=FACE_OUTER_BOUND('',#3486,.T.); #2175=FACE_OUTER_BOUND('',#3487,.T.); #2176=FACE_OUTER_BOUND('',#3488,.T.); #2177=FACE_OUTER_BOUND('',#3489,.T.); #2178=FACE_OUTER_BOUND('',#3490,.T.); #2179=FACE_OUTER_BOUND('',#3491,.T.); #2180=FACE_OUTER_BOUND('',#3492,.T.); #2181=FACE_OUTER_BOUND('',#3493,.T.); #2182=FACE_OUTER_BOUND('',#3494,.T.); #2183=FACE_OUTER_BOUND('',#3495,.T.); #2184=FACE_OUTER_BOUND('',#3496,.T.); #2185=FACE_OUTER_BOUND('',#3497,.T.); #2186=FACE_OUTER_BOUND('',#3498,.T.); #2187=FACE_OUTER_BOUND('',#3499,.T.); #2188=FACE_OUTER_BOUND('',#3500,.T.); #2189=FACE_OUTER_BOUND('',#3501,.T.); #2190=FACE_OUTER_BOUND('',#3502,.T.); #2191=FACE_OUTER_BOUND('',#3503,.T.); #2192=FACE_OUTER_BOUND('',#3504,.T.); #2193=FACE_OUTER_BOUND('',#3505,.T.); #2194=FACE_OUTER_BOUND('',#3506,.T.); #2195=FACE_OUTER_BOUND('',#3507,.T.); #2196=FACE_OUTER_BOUND('',#3508,.T.); #2197=FACE_OUTER_BOUND('',#3509,.T.); #2198=FACE_OUTER_BOUND('',#3510,.T.); #2199=FACE_OUTER_BOUND('',#3511,.T.); #2200=FACE_OUTER_BOUND('',#3512,.T.); #2201=FACE_OUTER_BOUND('',#3513,.T.); #2202=FACE_OUTER_BOUND('',#3514,.T.); #2203=FACE_OUTER_BOUND('',#3515,.T.); #2204=FACE_OUTER_BOUND('',#3516,.T.); #2205=FACE_OUTER_BOUND('',#3517,.T.); #2206=FACE_OUTER_BOUND('',#3518,.T.); #2207=FACE_OUTER_BOUND('',#3519,.T.); #2208=FACE_OUTER_BOUND('',#3520,.T.); #2209=FACE_OUTER_BOUND('',#3521,.T.); #2210=FACE_OUTER_BOUND('',#3522,.T.); #2211=FACE_OUTER_BOUND('',#3523,.T.); #2212=FACE_OUTER_BOUND('',#3524,.T.); #2213=FACE_OUTER_BOUND('',#3525,.T.); #2214=FACE_OUTER_BOUND('',#3526,.T.); #2215=FACE_OUTER_BOUND('',#3527,.T.); #2216=FACE_OUTER_BOUND('',#3528,.T.); #2217=FACE_OUTER_BOUND('',#3529,.T.); #2218=FACE_OUTER_BOUND('',#3530,.T.); #2219=FACE_OUTER_BOUND('',#3531,.T.); #2220=FACE_OUTER_BOUND('',#3532,.T.); #2221=FACE_OUTER_BOUND('',#3533,.T.); #2222=FACE_OUTER_BOUND('',#3534,.T.); #2223=FACE_OUTER_BOUND('',#3536,.T.); #2224=FACE_OUTER_BOUND('',#3537,.T.); #2225=FACE_OUTER_BOUND('',#3538,.T.); #2226=FACE_OUTER_BOUND('',#3539,.T.); #2227=FACE_OUTER_BOUND('',#3540,.T.); #2228=FACE_OUTER_BOUND('',#3541,.T.); #2229=FACE_OUTER_BOUND('',#3542,.T.); #2230=FACE_OUTER_BOUND('',#3543,.T.); #2231=FACE_OUTER_BOUND('',#3544,.T.); #2232=FACE_OUTER_BOUND('',#3545,.T.); #2233=FACE_OUTER_BOUND('',#3546,.T.); #2234=FACE_OUTER_BOUND('',#3547,.T.); #2235=FACE_OUTER_BOUND('',#3548,.T.); #2236=FACE_OUTER_BOUND('',#3549,.T.); #2237=FACE_OUTER_BOUND('',#3550,.T.); #2238=FACE_OUTER_BOUND('',#3551,.T.); #2239=FACE_OUTER_BOUND('',#3552,.T.); #2240=FACE_OUTER_BOUND('',#3553,.T.); #2241=FACE_OUTER_BOUND('',#3554,.T.); #2242=FACE_OUTER_BOUND('',#3555,.T.); #2243=FACE_OUTER_BOUND('',#3556,.T.); #2244=FACE_OUTER_BOUND('',#3557,.T.); #2245=FACE_OUTER_BOUND('',#3558,.T.); #2246=FACE_OUTER_BOUND('',#3559,.T.); #2247=FACE_OUTER_BOUND('',#3560,.T.); #2248=FACE_OUTER_BOUND('',#3561,.T.); #2249=FACE_OUTER_BOUND('',#3562,.T.); #2250=FACE_OUTER_BOUND('',#3563,.T.); #2251=FACE_OUTER_BOUND('',#3564,.T.); #2252=FACE_OUTER_BOUND('',#3565,.T.); #2253=FACE_OUTER_BOUND('',#3566,.T.); #2254=FACE_OUTER_BOUND('',#3567,.T.); #2255=FACE_OUTER_BOUND('',#3568,.T.); #2256=FACE_OUTER_BOUND('',#3569,.T.); #2257=FACE_OUTER_BOUND('',#3570,.T.); #2258=FACE_OUTER_BOUND('',#3571,.T.); #2259=FACE_OUTER_BOUND('',#3572,.T.); #2260=FACE_OUTER_BOUND('',#3573,.T.); #2261=FACE_OUTER_BOUND('',#3574,.T.); #2262=FACE_OUTER_BOUND('',#3575,.T.); #2263=FACE_OUTER_BOUND('',#3576,.T.); #2264=FACE_OUTER_BOUND('',#3577,.T.); #2265=FACE_OUTER_BOUND('',#3578,.T.); #2266=FACE_OUTER_BOUND('',#3579,.T.); #2267=FACE_OUTER_BOUND('',#3580,.T.); #2268=FACE_OUTER_BOUND('',#3581,.T.); #2269=FACE_OUTER_BOUND('',#3582,.T.); #2270=FACE_OUTER_BOUND('',#3583,.T.); #2271=FACE_OUTER_BOUND('',#3584,.T.); #2272=FACE_OUTER_BOUND('',#3585,.T.); #2273=FACE_OUTER_BOUND('',#3586,.T.); #2274=FACE_OUTER_BOUND('',#3587,.T.); #2275=FACE_OUTER_BOUND('',#3588,.T.); #2276=FACE_OUTER_BOUND('',#3589,.T.); #2277=FACE_OUTER_BOUND('',#3590,.T.); #2278=FACE_OUTER_BOUND('',#3591,.T.); #2279=FACE_OUTER_BOUND('',#3592,.T.); #2280=FACE_OUTER_BOUND('',#3593,.T.); #2281=FACE_OUTER_BOUND('',#3594,.T.); #2282=FACE_OUTER_BOUND('',#3595,.T.); #2283=FACE_OUTER_BOUND('',#3596,.T.); #2284=FACE_OUTER_BOUND('',#3597,.T.); #2285=FACE_OUTER_BOUND('',#3598,.T.); #2286=FACE_OUTER_BOUND('',#3599,.T.); #2287=FACE_OUTER_BOUND('',#3600,.T.); #2288=FACE_OUTER_BOUND('',#3601,.T.); #2289=FACE_OUTER_BOUND('',#3602,.T.); #2290=FACE_OUTER_BOUND('',#3603,.T.); #2291=FACE_OUTER_BOUND('',#3604,.T.); #2292=FACE_OUTER_BOUND('',#3605,.T.); #2293=FACE_OUTER_BOUND('',#3606,.T.); #2294=FACE_OUTER_BOUND('',#3607,.T.); #2295=FACE_OUTER_BOUND('',#3608,.T.); #2296=FACE_OUTER_BOUND('',#3609,.T.); #2297=FACE_OUTER_BOUND('',#3610,.T.); #2298=FACE_OUTER_BOUND('',#3611,.T.); #2299=FACE_OUTER_BOUND('',#3612,.T.); #2300=FACE_OUTER_BOUND('',#3613,.T.); #2301=FACE_OUTER_BOUND('',#3614,.T.); #2302=FACE_OUTER_BOUND('',#3615,.T.); #2303=FACE_OUTER_BOUND('',#3616,.T.); #2304=FACE_OUTER_BOUND('',#3617,.T.); #2305=FACE_OUTER_BOUND('',#3618,.T.); #2306=FACE_OUTER_BOUND('',#3619,.T.); #2307=FACE_OUTER_BOUND('',#3620,.T.); #2308=FACE_OUTER_BOUND('',#3621,.T.); #2309=FACE_OUTER_BOUND('',#3622,.T.); #2310=FACE_OUTER_BOUND('',#3623,.T.); #2311=FACE_OUTER_BOUND('',#3624,.T.); #2312=FACE_OUTER_BOUND('',#3625,.T.); #2313=FACE_OUTER_BOUND('',#3626,.T.); #2314=FACE_OUTER_BOUND('',#3627,.T.); #2315=FACE_OUTER_BOUND('',#3628,.T.); #2316=FACE_OUTER_BOUND('',#3629,.T.); #2317=FACE_OUTER_BOUND('',#3630,.T.); #2318=FACE_OUTER_BOUND('',#3631,.T.); #2319=FACE_OUTER_BOUND('',#3632,.T.); #2320=FACE_OUTER_BOUND('',#3633,.T.); #2321=FACE_OUTER_BOUND('',#3634,.T.); #2322=FACE_OUTER_BOUND('',#3635,.T.); #2323=FACE_OUTER_BOUND('',#3636,.T.); #2324=FACE_OUTER_BOUND('',#3637,.T.); #2325=FACE_OUTER_BOUND('',#3638,.T.); #2326=FACE_OUTER_BOUND('',#3639,.T.); #2327=FACE_OUTER_BOUND('',#3640,.T.); #2328=FACE_OUTER_BOUND('',#3641,.T.); #2329=FACE_OUTER_BOUND('',#3642,.T.); #2330=FACE_OUTER_BOUND('',#3643,.T.); #2331=FACE_OUTER_BOUND('',#3644,.T.); #2332=FACE_OUTER_BOUND('',#3645,.T.); #2333=FACE_OUTER_BOUND('',#3646,.T.); #2334=FACE_OUTER_BOUND('',#3647,.T.); #2335=FACE_OUTER_BOUND('',#3648,.T.); #2336=FACE_OUTER_BOUND('',#3649,.T.); #2337=FACE_OUTER_BOUND('',#3650,.T.); #2338=FACE_OUTER_BOUND('',#3651,.T.); #2339=FACE_OUTER_BOUND('',#3652,.T.); #2340=FACE_OUTER_BOUND('',#3653,.T.); #2341=FACE_OUTER_BOUND('',#3654,.T.); #2342=FACE_OUTER_BOUND('',#3655,.T.); #2343=FACE_OUTER_BOUND('',#3656,.T.); #2344=FACE_OUTER_BOUND('',#3657,.T.); #2345=FACE_OUTER_BOUND('',#3658,.T.); #2346=FACE_OUTER_BOUND('',#3659,.T.); #2347=FACE_OUTER_BOUND('',#3660,.T.); #2348=FACE_OUTER_BOUND('',#3661,.T.); #2349=FACE_OUTER_BOUND('',#3662,.T.); #2350=FACE_OUTER_BOUND('',#3663,.T.); #2351=FACE_OUTER_BOUND('',#3664,.T.); #2352=FACE_OUTER_BOUND('',#3665,.T.); #2353=FACE_OUTER_BOUND('',#3666,.T.); #2354=FACE_OUTER_BOUND('',#3667,.T.); #2355=FACE_OUTER_BOUND('',#3668,.T.); #2356=FACE_OUTER_BOUND('',#3669,.T.); #2357=FACE_OUTER_BOUND('',#3670,.T.); #2358=FACE_OUTER_BOUND('',#3671,.T.); #2359=FACE_OUTER_BOUND('',#3672,.T.); #2360=FACE_OUTER_BOUND('',#3673,.T.); #2361=FACE_OUTER_BOUND('',#3674,.T.); #2362=FACE_OUTER_BOUND('',#3675,.T.); #2363=FACE_OUTER_BOUND('',#3676,.T.); #2364=FACE_OUTER_BOUND('',#3677,.T.); #2365=FACE_OUTER_BOUND('',#3678,.T.); #2366=FACE_OUTER_BOUND('',#3679,.T.); #2367=FACE_OUTER_BOUND('',#3680,.T.); #2368=FACE_OUTER_BOUND('',#3681,.T.); #2369=FACE_OUTER_BOUND('',#3682,.T.); #2370=FACE_OUTER_BOUND('',#3683,.T.); #2371=FACE_OUTER_BOUND('',#3684,.T.); #2372=FACE_OUTER_BOUND('',#3685,.T.); #2373=FACE_OUTER_BOUND('',#3686,.T.); #2374=FACE_OUTER_BOUND('',#3687,.T.); #2375=FACE_OUTER_BOUND('',#3688,.T.); #2376=FACE_OUTER_BOUND('',#3689,.T.); #2377=FACE_OUTER_BOUND('',#3690,.T.); #2378=FACE_OUTER_BOUND('',#3691,.T.); #2379=FACE_OUTER_BOUND('',#3692,.T.); #2380=FACE_OUTER_BOUND('',#3693,.T.); #2381=FACE_OUTER_BOUND('',#3694,.T.); #2382=FACE_OUTER_BOUND('',#3695,.T.); #2383=FACE_OUTER_BOUND('',#3696,.T.); #2384=FACE_OUTER_BOUND('',#3697,.T.); #2385=FACE_OUTER_BOUND('',#3698,.T.); #2386=FACE_OUTER_BOUND('',#3699,.T.); #2387=FACE_OUTER_BOUND('',#3700,.T.); #2388=FACE_OUTER_BOUND('',#3701,.T.); #2389=FACE_OUTER_BOUND('',#3702,.T.); #2390=FACE_OUTER_BOUND('',#3703,.T.); #2391=FACE_OUTER_BOUND('',#3704,.T.); #2392=FACE_OUTER_BOUND('',#3705,.T.); #2393=FACE_OUTER_BOUND('',#3706,.T.); #2394=FACE_OUTER_BOUND('',#3707,.T.); #2395=FACE_OUTER_BOUND('',#3708,.T.); #2396=FACE_OUTER_BOUND('',#3709,.T.); #2397=FACE_OUTER_BOUND('',#3710,.T.); #2398=FACE_OUTER_BOUND('',#3711,.T.); #2399=FACE_OUTER_BOUND('',#3712,.T.); #2400=FACE_OUTER_BOUND('',#3713,.T.); #2401=FACE_OUTER_BOUND('',#3714,.T.); #2402=FACE_OUTER_BOUND('',#3715,.T.); #2403=FACE_OUTER_BOUND('',#3716,.T.); #2404=FACE_OUTER_BOUND('',#3717,.T.); #2405=FACE_OUTER_BOUND('',#3718,.T.); #2406=FACE_OUTER_BOUND('',#3719,.T.); #2407=FACE_OUTER_BOUND('',#3720,.T.); #2408=FACE_OUTER_BOUND('',#3721,.T.); #2409=FACE_OUTER_BOUND('',#3722,.T.); #2410=FACE_OUTER_BOUND('',#3723,.T.); #2411=FACE_OUTER_BOUND('',#3724,.T.); #2412=FACE_OUTER_BOUND('',#3725,.T.); #2413=FACE_OUTER_BOUND('',#3726,.T.); #2414=FACE_OUTER_BOUND('',#3727,.T.); #2415=FACE_OUTER_BOUND('',#3728,.T.); #2416=FACE_OUTER_BOUND('',#3729,.T.); #2417=FACE_OUTER_BOUND('',#3730,.T.); #2418=FACE_OUTER_BOUND('',#3731,.T.); #2419=FACE_OUTER_BOUND('',#3732,.T.); #2420=FACE_OUTER_BOUND('',#3733,.T.); #2421=FACE_OUTER_BOUND('',#3735,.T.); #2422=FACE_OUTER_BOUND('',#3736,.T.); #2423=FACE_OUTER_BOUND('',#3737,.T.); #2424=FACE_OUTER_BOUND('',#3738,.T.); #2425=FACE_OUTER_BOUND('',#3739,.T.); #2426=FACE_OUTER_BOUND('',#3740,.T.); #2427=FACE_OUTER_BOUND('',#3741,.T.); #2428=FACE_OUTER_BOUND('',#3742,.T.); #2429=FACE_OUTER_BOUND('',#3743,.T.); #2430=FACE_OUTER_BOUND('',#3744,.T.); #2431=FACE_OUTER_BOUND('',#3745,.T.); #2432=FACE_OUTER_BOUND('',#3746,.T.); #2433=FACE_OUTER_BOUND('',#3747,.T.); #2434=FACE_OUTER_BOUND('',#3748,.T.); #2435=FACE_OUTER_BOUND('',#3749,.T.); #2436=FACE_OUTER_BOUND('',#3750,.T.); #2437=FACE_OUTER_BOUND('',#3751,.T.); #2438=FACE_OUTER_BOUND('',#3752,.T.); #2439=FACE_OUTER_BOUND('',#3753,.T.); #2440=FACE_OUTER_BOUND('',#3754,.T.); #2441=FACE_OUTER_BOUND('',#3755,.T.); #2442=FACE_OUTER_BOUND('',#3756,.T.); #2443=FACE_OUTER_BOUND('',#3757,.T.); #2444=FACE_OUTER_BOUND('',#3758,.T.); #2445=FACE_OUTER_BOUND('',#3759,.T.); #2446=FACE_OUTER_BOUND('',#3760,.T.); #2447=FACE_OUTER_BOUND('',#3761,.T.); #2448=FACE_OUTER_BOUND('',#3762,.T.); #2449=FACE_OUTER_BOUND('',#3763,.T.); #2450=FACE_OUTER_BOUND('',#3764,.T.); #2451=FACE_OUTER_BOUND('',#3765,.T.); #2452=FACE_OUTER_BOUND('',#3766,.T.); #2453=FACE_OUTER_BOUND('',#3767,.T.); #2454=FACE_OUTER_BOUND('',#3768,.T.); #2455=FACE_OUTER_BOUND('',#3769,.T.); #2456=FACE_OUTER_BOUND('',#3770,.T.); #2457=FACE_OUTER_BOUND('',#3771,.T.); #2458=FACE_OUTER_BOUND('',#3772,.T.); #2459=FACE_OUTER_BOUND('',#3773,.T.); #2460=FACE_OUTER_BOUND('',#3774,.T.); #2461=FACE_OUTER_BOUND('',#3775,.T.); #2462=FACE_OUTER_BOUND('',#3776,.T.); #2463=FACE_OUTER_BOUND('',#3777,.T.); #2464=FACE_OUTER_BOUND('',#3778,.T.); #2465=FACE_OUTER_BOUND('',#3779,.T.); #2466=FACE_OUTER_BOUND('',#3780,.T.); #2467=FACE_OUTER_BOUND('',#3781,.T.); #2468=FACE_OUTER_BOUND('',#3814,.T.); #2469=FACE_OUTER_BOUND('',#3815,.T.); #2470=FACE_OUTER_BOUND('',#3816,.T.); #2471=FACE_OUTER_BOUND('',#3817,.T.); #2472=FACE_OUTER_BOUND('',#3818,.T.); #2473=FACE_OUTER_BOUND('',#3819,.T.); #2474=FACE_OUTER_BOUND('',#3820,.T.); #2475=FACE_OUTER_BOUND('',#3821,.T.); #2476=FACE_OUTER_BOUND('',#3822,.T.); #2477=FACE_OUTER_BOUND('',#3823,.T.); #2478=FACE_OUTER_BOUND('',#3824,.T.); #2479=FACE_OUTER_BOUND('',#3825,.T.); #2480=FACE_OUTER_BOUND('',#3826,.T.); #2481=FACE_OUTER_BOUND('',#3827,.T.); #2482=FACE_OUTER_BOUND('',#3828,.T.); #2483=FACE_OUTER_BOUND('',#3829,.T.); #2484=FACE_OUTER_BOUND('',#3830,.T.); #2485=FACE_OUTER_BOUND('',#3831,.T.); #2486=FACE_OUTER_BOUND('',#3832,.T.); #2487=FACE_OUTER_BOUND('',#3833,.T.); #2488=FACE_OUTER_BOUND('',#3834,.T.); #2489=FACE_OUTER_BOUND('',#3835,.T.); #2490=FACE_OUTER_BOUND('',#3836,.T.); #2491=FACE_OUTER_BOUND('',#3837,.T.); #2492=FACE_OUTER_BOUND('',#3838,.T.); #2493=FACE_OUTER_BOUND('',#3839,.T.); #2494=FACE_OUTER_BOUND('',#3840,.T.); #2495=FACE_OUTER_BOUND('',#3841,.T.); #2496=FACE_OUTER_BOUND('',#3842,.T.); #2497=FACE_OUTER_BOUND('',#3843,.T.); #2498=FACE_OUTER_BOUND('',#3844,.T.); #2499=FACE_OUTER_BOUND('',#3845,.T.); #2500=FACE_OUTER_BOUND('',#3846,.T.); #2501=FACE_OUTER_BOUND('',#3847,.T.); #2502=FACE_OUTER_BOUND('',#3848,.T.); #2503=FACE_OUTER_BOUND('',#3849,.T.); #2504=FACE_OUTER_BOUND('',#3850,.T.); #2505=FACE_OUTER_BOUND('',#3851,.T.); #2506=FACE_OUTER_BOUND('',#3852,.T.); #2507=FACE_OUTER_BOUND('',#3853,.T.); #2508=FACE_OUTER_BOUND('',#3854,.T.); #2509=FACE_OUTER_BOUND('',#3855,.T.); #2510=FACE_OUTER_BOUND('',#3856,.T.); #2511=FACE_OUTER_BOUND('',#3857,.T.); #2512=FACE_OUTER_BOUND('',#3858,.T.); #2513=FACE_OUTER_BOUND('',#3859,.T.); #2514=FACE_OUTER_BOUND('',#3860,.T.); #2515=FACE_OUTER_BOUND('',#3861,.T.); #2516=FACE_OUTER_BOUND('',#3862,.T.); #2517=FACE_OUTER_BOUND('',#3863,.T.); #2518=FACE_OUTER_BOUND('',#3864,.T.); #2519=FACE_OUTER_BOUND('',#3865,.T.); #2520=FACE_OUTER_BOUND('',#3866,.T.); #2521=FACE_OUTER_BOUND('',#3867,.T.); #2522=FACE_OUTER_BOUND('',#3868,.T.); #2523=FACE_OUTER_BOUND('',#3869,.T.); #2524=FACE_OUTER_BOUND('',#3870,.T.); #2525=FACE_OUTER_BOUND('',#3871,.T.); #2526=FACE_OUTER_BOUND('',#3872,.T.); #2527=FACE_OUTER_BOUND('',#3873,.T.); #2528=FACE_OUTER_BOUND('',#3874,.T.); #2529=FACE_OUTER_BOUND('',#3875,.T.); #2530=FACE_OUTER_BOUND('',#3876,.T.); #2531=FACE_OUTER_BOUND('',#3877,.T.); #2532=FACE_OUTER_BOUND('',#3892,.T.); #2533=FACE_OUTER_BOUND('',#3893,.T.); #2534=FACE_OUTER_BOUND('',#3894,.T.); #2535=FACE_OUTER_BOUND('',#3895,.T.); #2536=FACE_OUTER_BOUND('',#3896,.T.); #2537=FACE_OUTER_BOUND('',#3897,.T.); #2538=FACE_OUTER_BOUND('',#3898,.T.); #2539=FACE_OUTER_BOUND('',#3899,.T.); #2540=FACE_OUTER_BOUND('',#3900,.T.); #2541=FACE_OUTER_BOUND('',#3901,.T.); #2542=FACE_OUTER_BOUND('',#3902,.T.); #2543=FACE_OUTER_BOUND('',#3903,.T.); #2544=FACE_OUTER_BOUND('',#3904,.T.); #2545=FACE_OUTER_BOUND('',#3905,.T.); #2546=FACE_OUTER_BOUND('',#3906,.T.); #2547=FACE_OUTER_BOUND('',#3907,.T.); #2548=FACE_OUTER_BOUND('',#3908,.T.); #2549=FACE_OUTER_BOUND('',#3909,.T.); #2550=FACE_OUTER_BOUND('',#3910,.T.); #2551=FACE_OUTER_BOUND('',#3911,.T.); #2552=FACE_OUTER_BOUND('',#3912,.T.); #2553=FACE_OUTER_BOUND('',#3913,.T.); #2554=FACE_OUTER_BOUND('',#3914,.T.); #2555=FACE_OUTER_BOUND('',#3915,.T.); #2556=FACE_OUTER_BOUND('',#3916,.T.); #2557=FACE_OUTER_BOUND('',#3917,.T.); #2558=FACE_OUTER_BOUND('',#3918,.T.); #2559=FACE_OUTER_BOUND('',#3919,.T.); #2560=FACE_OUTER_BOUND('',#3920,.T.); #2561=FACE_OUTER_BOUND('',#3921,.T.); #2562=FACE_OUTER_BOUND('',#3922,.T.); #2563=EDGE_LOOP('',(#17023,#17024,#17025,#17026)); #2564=EDGE_LOOP('',(#17027,#17028,#17029,#17030)); #2565=EDGE_LOOP('',(#17031,#17032,#17033,#17034)); #2566=EDGE_LOOP('',(#17035,#17036,#17037,#17038)); #2567=EDGE_LOOP('',(#17039,#17040,#17041,#17042)); #2568=EDGE_LOOP('',(#17043,#17044,#17045,#17046)); #2569=EDGE_LOOP('',(#17047,#17048,#17049,#17050,#17051,#17052)); #2570=EDGE_LOOP('',(#17053,#17054,#17055,#17056,#17057,#17058)); #2571=EDGE_LOOP('',(#17059,#17060,#17061,#17062)); #2572=EDGE_LOOP('',(#17063,#17064,#17065,#17066)); #2573=EDGE_LOOP('',(#17067,#17068,#17069,#17070)); #2574=EDGE_LOOP('',(#17071,#17072,#17073,#17074,#17075,#17076)); #2575=EDGE_LOOP('',(#17077,#17078,#17079,#17080)); #2576=EDGE_LOOP('',(#17081,#17082,#17083,#17084)); #2577=EDGE_LOOP('',(#17085,#17086,#17087,#17088)); #2578=EDGE_LOOP('',(#17089,#17090,#17091,#17092,#17093,#17094)); #2579=EDGE_LOOP('',(#17095,#17096,#17097,#17098)); #2580=EDGE_LOOP('',(#17099,#17100,#17101,#17102)); #2581=EDGE_LOOP('',(#17103,#17104,#17105,#17106)); #2582=EDGE_LOOP('',(#17107,#17108,#17109,#17110,#17111,#17112)); #2583=EDGE_LOOP('',(#17113,#17114,#17115,#17116)); #2584=EDGE_LOOP('',(#17117,#17118,#17119,#17120)); #2585=EDGE_LOOP('',(#17121,#17122,#17123,#17124)); #2586=EDGE_LOOP('',(#17125,#17126,#17127,#17128,#17129,#17130)); #2587=EDGE_LOOP('',(#17131,#17132,#17133,#17134)); #2588=EDGE_LOOP('',(#17135,#17136,#17137,#17138)); #2589=EDGE_LOOP('',(#17139,#17140,#17141,#17142)); #2590=EDGE_LOOP('',(#17143,#17144,#17145,#17146,#17147,#17148)); #2591=EDGE_LOOP('',(#17149,#17150,#17151,#17152)); #2592=EDGE_LOOP('',(#17153,#17154,#17155,#17156)); #2593=EDGE_LOOP('',(#17157,#17158,#17159,#17160)); #2594=EDGE_LOOP('',(#17161,#17162,#17163,#17164,#17165,#17166)); #2595=EDGE_LOOP('',(#17167,#17168,#17169,#17170)); #2596=EDGE_LOOP('',(#17171,#17172,#17173,#17174)); #2597=EDGE_LOOP('',(#17175,#17176,#17177,#17178)); #2598=EDGE_LOOP('',(#17179,#17180,#17181,#17182,#17183,#17184)); #2599=EDGE_LOOP('',(#17185,#17186,#17187,#17188)); #2600=EDGE_LOOP('',(#17189,#17190,#17191,#17192)); #2601=EDGE_LOOP('',(#17193,#17194,#17195,#17196)); #2602=EDGE_LOOP('',(#17197,#17198,#17199,#17200,#17201,#17202)); #2603=EDGE_LOOP('',(#17203,#17204,#17205,#17206)); #2604=EDGE_LOOP('',(#17207,#17208,#17209,#17210)); #2605=EDGE_LOOP('',(#17211,#17212,#17213,#17214)); #2606=EDGE_LOOP('',(#17215,#17216,#17217,#17218,#17219,#17220)); #2607=EDGE_LOOP('',(#17221,#17222,#17223,#17224)); #2608=EDGE_LOOP('',(#17225,#17226,#17227,#17228)); #2609=EDGE_LOOP('',(#17229,#17230,#17231,#17232)); #2610=EDGE_LOOP('',(#17233,#17234,#17235,#17236,#17237,#17238)); #2611=EDGE_LOOP('',(#17239,#17240,#17241,#17242)); #2612=EDGE_LOOP('',(#17243,#17244,#17245,#17246)); #2613=EDGE_LOOP('',(#17247,#17248,#17249,#17250)); #2614=EDGE_LOOP('',(#17251,#17252,#17253,#17254,#17255,#17256)); #2615=EDGE_LOOP('',(#17257,#17258,#17259,#17260)); #2616=EDGE_LOOP('',(#17261,#17262,#17263,#17264)); #2617=EDGE_LOOP('',(#17265,#17266,#17267,#17268)); #2618=EDGE_LOOP('',(#17269,#17270,#17271,#17272,#17273,#17274)); #2619=EDGE_LOOP('',(#17275,#17276,#17277,#17278)); #2620=EDGE_LOOP('',(#17279,#17280,#17281,#17282)); #2621=EDGE_LOOP('',(#17283,#17284,#17285,#17286)); #2622=EDGE_LOOP('',(#17287,#17288,#17289,#17290,#17291,#17292)); #2623=EDGE_LOOP('',(#17293,#17294,#17295,#17296)); #2624=EDGE_LOOP('',(#17297,#17298,#17299,#17300)); #2625=EDGE_LOOP('',(#17301,#17302,#17303,#17304)); #2626=EDGE_LOOP('',(#17305,#17306,#17307,#17308,#17309,#17310)); #2627=EDGE_LOOP('',(#17311,#17312,#17313,#17314)); #2628=EDGE_LOOP('',(#17315,#17316,#17317,#17318)); #2629=EDGE_LOOP('',(#17319,#17320,#17321,#17322)); #2630=EDGE_LOOP('',(#17323,#17324,#17325,#17326,#17327,#17328)); #2631=EDGE_LOOP('',(#17329,#17330,#17331,#17332)); #2632=EDGE_LOOP('',(#17333,#17334,#17335,#17336)); #2633=EDGE_LOOP('',(#17337,#17338,#17339,#17340)); #2634=EDGE_LOOP('',(#17341,#17342,#17343,#17344,#17345,#17346)); #2635=EDGE_LOOP('',(#17347,#17348,#17349,#17350)); #2636=EDGE_LOOP('',(#17351,#17352,#17353,#17354)); #2637=EDGE_LOOP('',(#17355,#17356,#17357,#17358)); #2638=EDGE_LOOP('',(#17359,#17360,#17361,#17362,#17363,#17364)); #2639=EDGE_LOOP('',(#17365,#17366,#17367,#17368)); #2640=EDGE_LOOP('',(#17369,#17370,#17371,#17372)); #2641=EDGE_LOOP('',(#17373,#17374,#17375,#17376)); #2642=EDGE_LOOP('',(#17377,#17378,#17379,#17380,#17381,#17382)); #2643=EDGE_LOOP('',(#17383,#17384,#17385,#17386)); #2644=EDGE_LOOP('',(#17387,#17388,#17389,#17390)); #2645=EDGE_LOOP('',(#17391,#17392,#17393,#17394)); #2646=EDGE_LOOP('',(#17395,#17396,#17397,#17398,#17399,#17400)); #2647=EDGE_LOOP('',(#17401,#17402,#17403,#17404)); #2648=EDGE_LOOP('',(#17405,#17406,#17407,#17408)); #2649=EDGE_LOOP('',(#17409,#17410,#17411,#17412)); #2650=EDGE_LOOP('',(#17413,#17414,#17415,#17416,#17417,#17418)); #2651=EDGE_LOOP('',(#17419,#17420,#17421,#17422)); #2652=EDGE_LOOP('',(#17423,#17424,#17425,#17426)); #2653=EDGE_LOOP('',(#17427,#17428,#17429,#17430)); #2654=EDGE_LOOP('',(#17431,#17432,#17433,#17434,#17435,#17436)); #2655=EDGE_LOOP('',(#17437,#17438,#17439,#17440)); #2656=EDGE_LOOP('',(#17441,#17442,#17443,#17444)); #2657=EDGE_LOOP('',(#17445,#17446,#17447,#17448)); #2658=EDGE_LOOP('',(#17449,#17450,#17451,#17452,#17453,#17454)); #2659=EDGE_LOOP('',(#17455,#17456,#17457,#17458)); #2660=EDGE_LOOP('',(#17459,#17460,#17461,#17462)); #2661=EDGE_LOOP('',(#17463,#17464,#17465,#17466)); #2662=EDGE_LOOP('',(#17467,#17468,#17469,#17470,#17471,#17472)); #2663=EDGE_LOOP('',(#17473,#17474,#17475,#17476)); #2664=EDGE_LOOP('',(#17477,#17478,#17479,#17480)); #2665=EDGE_LOOP('',(#17481,#17482,#17483,#17484)); #2666=EDGE_LOOP('',(#17485,#17486,#17487,#17488,#17489,#17490)); #2667=EDGE_LOOP('',(#17491,#17492,#17493,#17494)); #2668=EDGE_LOOP('',(#17495,#17496,#17497,#17498)); #2669=EDGE_LOOP('',(#17499,#17500,#17501,#17502)); #2670=EDGE_LOOP('',(#17503,#17504,#17505,#17506,#17507,#17508)); #2671=EDGE_LOOP('',(#17509,#17510,#17511,#17512)); #2672=EDGE_LOOP('',(#17513,#17514,#17515,#17516)); #2673=EDGE_LOOP('',(#17517,#17518,#17519,#17520)); #2674=EDGE_LOOP('',(#17521,#17522,#17523,#17524,#17525,#17526)); #2675=EDGE_LOOP('',(#17527,#17528,#17529,#17530)); #2676=EDGE_LOOP('',(#17531,#17532,#17533,#17534)); #2677=EDGE_LOOP('',(#17535,#17536,#17537,#17538)); #2678=EDGE_LOOP('',(#17539,#17540,#17541,#17542,#17543,#17544)); #2679=EDGE_LOOP('',(#17545,#17546,#17547,#17548)); #2680=EDGE_LOOP('',(#17549,#17550,#17551,#17552)); #2681=EDGE_LOOP('',(#17553,#17554,#17555,#17556)); #2682=EDGE_LOOP('',(#17557,#17558,#17559,#17560,#17561,#17562)); #2683=EDGE_LOOP('',(#17563,#17564,#17565,#17566)); #2684=EDGE_LOOP('',(#17567,#17568,#17569,#17570)); #2685=EDGE_LOOP('',(#17571,#17572,#17573,#17574)); #2686=EDGE_LOOP('',(#17575,#17576,#17577,#17578,#17579,#17580)); #2687=EDGE_LOOP('',(#17581,#17582,#17583,#17584)); #2688=EDGE_LOOP('',(#17585,#17586,#17587,#17588)); #2689=EDGE_LOOP('',(#17589,#17590,#17591,#17592)); #2690=EDGE_LOOP('',(#17593,#17594,#17595,#17596,#17597,#17598)); #2691=EDGE_LOOP('',(#17599,#17600,#17601,#17602)); #2692=EDGE_LOOP('',(#17603,#17604,#17605,#17606)); #2693=EDGE_LOOP('',(#17607,#17608,#17609,#17610)); #2694=EDGE_LOOP('',(#17611,#17612,#17613,#17614,#17615,#17616)); #2695=EDGE_LOOP('',(#17617,#17618,#17619,#17620)); #2696=EDGE_LOOP('',(#17621,#17622,#17623,#17624)); #2697=EDGE_LOOP('',(#17625,#17626,#17627,#17628)); #2698=EDGE_LOOP('',(#17629,#17630,#17631,#17632,#17633,#17634)); #2699=EDGE_LOOP('',(#17635,#17636,#17637,#17638)); #2700=EDGE_LOOP('',(#17639,#17640,#17641,#17642)); #2701=EDGE_LOOP('',(#17643,#17644,#17645,#17646)); #2702=EDGE_LOOP('',(#17647,#17648,#17649,#17650,#17651,#17652)); #2703=EDGE_LOOP('',(#17653,#17654,#17655,#17656)); #2704=EDGE_LOOP('',(#17657,#17658,#17659,#17660)); #2705=EDGE_LOOP('',(#17661,#17662,#17663,#17664)); #2706=EDGE_LOOP('',(#17665,#17666,#17667,#17668,#17669,#17670)); #2707=EDGE_LOOP('',(#17671,#17672,#17673,#17674)); #2708=EDGE_LOOP('',(#17675,#17676,#17677,#17678)); #2709=EDGE_LOOP('',(#17679,#17680,#17681,#17682)); #2710=EDGE_LOOP('',(#17683,#17684,#17685,#17686,#17687,#17688)); #2711=EDGE_LOOP('',(#17689,#17690,#17691,#17692)); #2712=EDGE_LOOP('',(#17693,#17694,#17695,#17696)); #2713=EDGE_LOOP('',(#17697,#17698,#17699,#17700)); #2714=EDGE_LOOP('',(#17701,#17702,#17703,#17704,#17705,#17706)); #2715=EDGE_LOOP('',(#17707,#17708,#17709,#17710)); #2716=EDGE_LOOP('',(#17711,#17712,#17713,#17714)); #2717=EDGE_LOOP('',(#17715,#17716,#17717,#17718)); #2718=EDGE_LOOP('',(#17719,#17720,#17721,#17722,#17723,#17724)); #2719=EDGE_LOOP('',(#17725,#17726,#17727,#17728)); #2720=EDGE_LOOP('',(#17729,#17730,#17731,#17732)); #2721=EDGE_LOOP('',(#17733,#17734,#17735,#17736)); #2722=EDGE_LOOP('',(#17737,#17738,#17739,#17740,#17741,#17742)); #2723=EDGE_LOOP('',(#17743,#17744,#17745,#17746)); #2724=EDGE_LOOP('',(#17747,#17748,#17749,#17750)); #2725=EDGE_LOOP('',(#17751,#17752,#17753,#17754)); #2726=EDGE_LOOP('',(#17755,#17756,#17757,#17758,#17759,#17760)); #2727=EDGE_LOOP('',(#17761,#17762,#17763,#17764)); #2728=EDGE_LOOP('',(#17765,#17766,#17767,#17768)); #2729=EDGE_LOOP('',(#17769,#17770,#17771,#17772)); #2730=EDGE_LOOP('',(#17773,#17774,#17775,#17776,#17777,#17778)); #2731=EDGE_LOOP('',(#17779,#17780,#17781,#17782)); #2732=EDGE_LOOP('',(#17783,#17784,#17785,#17786)); #2733=EDGE_LOOP('',(#17787,#17788,#17789,#17790)); #2734=EDGE_LOOP('',(#17791,#17792,#17793,#17794,#17795,#17796)); #2735=EDGE_LOOP('',(#17797,#17798,#17799,#17800,#17801,#17802,#17803,#17804, #17805,#17806,#17807,#17808,#17809,#17810,#17811,#17812,#17813,#17814,#17815, #17816,#17817,#17818,#17819,#17820,#17821,#17822,#17823,#17824,#17825,#17826, #17827,#17828,#17829,#17830,#17831,#17832,#17833,#17834,#17835,#17836,#17837, #17838,#17839,#17840,#17841,#17842,#17843,#17844,#17845,#17846,#17847,#17848, #17849,#17850,#17851,#17852,#17853,#17854,#17855,#17856,#17857,#17858,#17859, #17860,#17861,#17862,#17863,#17864,#17865,#17866,#17867,#17868,#17869,#17870, #17871,#17872,#17873,#17874,#17875,#17876,#17877,#17878,#17879,#17880,#17881, #17882,#17883,#17884,#17885,#17886,#17887,#17888,#17889,#17890,#17891,#17892, #17893,#17894,#17895,#17896,#17897,#17898,#17899,#17900,#17901,#17902,#17903, #17904,#17905,#17906,#17907,#17908,#17909,#17910,#17911,#17912,#17913,#17914, #17915,#17916,#17917,#17918,#17919,#17920,#17921,#17922,#17923,#17924,#17925, #17926,#17927,#17928,#17929,#17930,#17931,#17932,#17933,#17934,#17935,#17936, #17937,#17938,#17939,#17940,#17941,#17942,#17943,#17944,#17945,#17946,#17947, #17948,#17949,#17950,#17951,#17952,#17953,#17954,#17955,#17956,#17957,#17958, #17959,#17960,#17961,#17962,#17963,#17964)); #2736=EDGE_LOOP('',(#17965,#17966,#17967,#17968)); #2737=EDGE_LOOP('',(#17969,#17970,#17971,#17972)); #2738=EDGE_LOOP('',(#17973,#17974,#17975,#17976)); #2739=EDGE_LOOP('',(#17977,#17978,#17979,#17980)); #2740=EDGE_LOOP('',(#17981,#17982,#17983,#17984)); #2741=EDGE_LOOP('',(#17985,#17986,#17987,#17988)); #2742=EDGE_LOOP('',(#17989,#17990,#17991,#17992)); #2743=EDGE_LOOP('',(#17993,#17994,#17995,#17996)); #2744=EDGE_LOOP('',(#17997,#17998,#17999,#18000)); #2745=EDGE_LOOP('',(#18001,#18002,#18003,#18004,#18005,#18006,#18007,#18008)); #2746=EDGE_LOOP('',(#18009,#18010,#18011,#18012,#18013,#18014,#18015,#18016)); #2747=EDGE_LOOP('',(#18017,#18018,#18019,#18020,#18021,#18022,#18023,#18024)); #2748=EDGE_LOOP('',(#18025,#18026,#18027,#18028,#18029,#18030,#18031,#18032)); #2749=EDGE_LOOP('',(#18033,#18034,#18035,#18036,#18037,#18038,#18039,#18040)); #2750=EDGE_LOOP('',(#18041,#18042,#18043,#18044,#18045,#18046,#18047,#18048)); #2751=EDGE_LOOP('',(#18049,#18050,#18051,#18052,#18053,#18054,#18055,#18056)); #2752=EDGE_LOOP('',(#18057,#18058,#18059,#18060,#18061,#18062,#18063,#18064)); #2753=EDGE_LOOP('',(#18065,#18066,#18067,#18068,#18069,#18070)); #2754=EDGE_LOOP('',(#18071,#18072,#18073,#18074,#18075,#18076,#18077,#18078, #18079,#18080,#18081,#18082,#18083,#18084,#18085,#18086,#18087,#18088,#18089, #18090,#18091,#18092,#18093,#18094,#18095,#18096,#18097,#18098,#18099,#18100, #18101,#18102,#18103,#18104,#18105,#18106,#18107,#18108,#18109,#18110,#18111, #18112,#18113,#18114,#18115,#18116,#18117,#18118,#18119,#18120,#18121,#18122, #18123,#18124,#18125,#18126,#18127,#18128,#18129,#18130,#18131,#18132,#18133, #18134,#18135,#18136,#18137,#18138,#18139,#18140,#18141,#18142,#18143,#18144, #18145,#18146,#18147,#18148,#18149,#18150,#18151,#18152,#18153,#18154,#18155, #18156,#18157,#18158,#18159,#18160,#18161,#18162,#18163,#18164,#18165,#18166, #18167,#18168,#18169,#18170,#18171,#18172,#18173,#18174,#18175,#18176,#18177, #18178,#18179,#18180,#18181,#18182,#18183,#18184,#18185,#18186,#18187,#18188, #18189,#18190,#18191,#18192,#18193,#18194,#18195,#18196,#18197,#18198,#18199, #18200,#18201,#18202,#18203,#18204,#18205,#18206,#18207,#18208,#18209,#18210, #18211,#18212,#18213,#18214,#18215,#18216,#18217,#18218,#18219,#18220)); #2755=EDGE_LOOP('',(#18221,#18222,#18223,#18224)); #2756=EDGE_LOOP('',(#18225,#18226,#18227,#18228,#18229,#18230,#18231,#18232, #18233,#18234,#18235,#18236)); #2757=EDGE_LOOP('',(#18237,#18238,#18239,#18240,#18241,#18242,#18243,#18244, #18245,#18246,#18247,#18248)); #2758=EDGE_LOOP('',(#18249,#18250,#18251,#18252,#18253,#18254,#18255,#18256, #18257,#18258,#18259,#18260)); #2759=EDGE_LOOP('',(#18261,#18262,#18263,#18264,#18265,#18266,#18267,#18268, #18269,#18270,#18271,#18272)); #2760=EDGE_LOOP('',(#18273,#18274,#18275,#18276,#18277,#18278,#18279,#18280, #18281,#18282,#18283,#18284)); #2761=EDGE_LOOP('',(#18285,#18286,#18287,#18288,#18289,#18290,#18291,#18292, #18293,#18294,#18295,#18296)); #2762=EDGE_LOOP('',(#18297,#18298,#18299,#18300,#18301,#18302,#18303,#18304, #18305,#18306,#18307,#18308)); #2763=EDGE_LOOP('',(#18309,#18310,#18311,#18312,#18313,#18314,#18315,#18316, #18317,#18318,#18319,#18320)); #2764=EDGE_LOOP('',(#18321,#18322,#18323,#18324,#18325,#18326,#18327,#18328, #18329,#18330,#18331,#18332)); #2765=EDGE_LOOP('',(#18333,#18334,#18335,#18336,#18337,#18338,#18339,#18340, #18341,#18342,#18343,#18344)); #2766=EDGE_LOOP('',(#18345,#18346,#18347,#18348,#18349,#18350,#18351,#18352, #18353,#18354,#18355,#18356)); #2767=EDGE_LOOP('',(#18357,#18358,#18359,#18360,#18361,#18362,#18363,#18364, #18365,#18366,#18367,#18368)); #2768=EDGE_LOOP('',(#18369,#18370,#18371,#18372,#18373,#18374,#18375,#18376, #18377,#18378,#18379,#18380)); #2769=EDGE_LOOP('',(#18381,#18382,#18383,#18384,#18385,#18386,#18387,#18388, #18389,#18390,#18391,#18392)); #2770=EDGE_LOOP('',(#18393,#18394,#18395,#18396,#18397,#18398,#18399,#18400, #18401,#18402,#18403,#18404)); #2771=EDGE_LOOP('',(#18405,#18406,#18407,#18408,#18409,#18410,#18411,#18412)); #2772=EDGE_LOOP('',(#18413,#18414,#18415,#18416,#18417,#18418,#18419,#18420, #18421,#18422,#18423,#18424)); #2773=EDGE_LOOP('',(#18425,#18426,#18427,#18428,#18429,#18430,#18431,#18432, #18433,#18434,#18435,#18436)); #2774=EDGE_LOOP('',(#18437,#18438,#18439,#18440,#18441,#18442,#18443,#18444, #18445,#18446,#18447,#18448)); #2775=EDGE_LOOP('',(#18449,#18450,#18451,#18452,#18453,#18454,#18455,#18456, #18457,#18458,#18459,#18460)); #2776=EDGE_LOOP('',(#18461,#18462,#18463,#18464,#18465,#18466,#18467,#18468, #18469,#18470,#18471,#18472)); #2777=EDGE_LOOP('',(#18473,#18474,#18475,#18476,#18477,#18478,#18479,#18480, #18481,#18482,#18483,#18484)); #2778=EDGE_LOOP('',(#18485,#18486,#18487,#18488,#18489,#18490,#18491,#18492, #18493,#18494,#18495,#18496)); #2779=EDGE_LOOP('',(#18497,#18498,#18499,#18500,#18501,#18502,#18503,#18504, #18505,#18506,#18507,#18508)); #2780=EDGE_LOOP('',(#18509,#18510,#18511,#18512,#18513,#18514,#18515,#18516, #18517,#18518,#18519,#18520)); #2781=EDGE_LOOP('',(#18521,#18522,#18523,#18524,#18525,#18526,#18527,#18528, #18529,#18530,#18531,#18532)); #2782=EDGE_LOOP('',(#18533,#18534,#18535,#18536,#18537,#18538,#18539,#18540)); #2783=EDGE_LOOP('',(#18541,#18542,#18543,#18544,#18545,#18546,#18547,#18548, #18549,#18550,#18551,#18552)); #2784=EDGE_LOOP('',(#18553,#18554,#18555,#18556,#18557,#18558,#18559,#18560, #18561,#18562,#18563,#18564)); #2785=EDGE_LOOP('',(#18565,#18566,#18567,#18568,#18569,#18570,#18571,#18572, #18573,#18574,#18575,#18576)); #2786=EDGE_LOOP('',(#18577,#18578,#18579,#18580,#18581,#18582,#18583,#18584, #18585,#18586,#18587,#18588)); #2787=EDGE_LOOP('',(#18589,#18590,#18591,#18592,#18593,#18594,#18595,#18596, #18597,#18598,#18599,#18600)); #2788=EDGE_LOOP('',(#18601,#18602,#18603,#18604)); #2789=EDGE_LOOP('',(#18605,#18606,#18607,#18608,#18609,#18610,#18611,#18612)); #2790=EDGE_LOOP('',(#18613,#18614,#18615,#18616,#18617,#18618,#18619,#18620)); #2791=EDGE_LOOP('',(#18621,#18622,#18623,#18624)); #2792=EDGE_LOOP('',(#18625,#18626,#18627,#18628)); #2793=EDGE_LOOP('',(#18629,#18630,#18631,#18632)); #2794=EDGE_LOOP('',(#18633,#18634,#18635,#18636,#18637,#18638)); #2795=EDGE_LOOP('',(#18639,#18640,#18641,#18642)); #2796=EDGE_LOOP('',(#18643,#18644,#18645,#18646)); #2797=EDGE_LOOP('',(#18647,#18648,#18649,#18650)); #2798=EDGE_LOOP('',(#18651,#18652,#18653,#18654,#18655,#18656)); #2799=EDGE_LOOP('',(#18657,#18658,#18659,#18660)); #2800=EDGE_LOOP('',(#18661,#18662,#18663,#18664)); #2801=EDGE_LOOP('',(#18665,#18666,#18667,#18668)); #2802=EDGE_LOOP('',(#18669,#18670,#18671,#18672,#18673,#18674)); #2803=EDGE_LOOP('',(#18675,#18676,#18677,#18678)); #2804=EDGE_LOOP('',(#18679,#18680,#18681,#18682)); #2805=EDGE_LOOP('',(#18683,#18684,#18685,#18686)); #2806=EDGE_LOOP('',(#18687,#18688,#18689,#18690,#18691,#18692)); #2807=EDGE_LOOP('',(#18693,#18694,#18695,#18696)); #2808=EDGE_LOOP('',(#18697,#18698,#18699,#18700)); #2809=EDGE_LOOP('',(#18701,#18702,#18703,#18704)); #2810=EDGE_LOOP('',(#18705,#18706,#18707,#18708,#18709,#18710)); #2811=EDGE_LOOP('',(#18711,#18712,#18713,#18714)); #2812=EDGE_LOOP('',(#18715,#18716,#18717,#18718)); #2813=EDGE_LOOP('',(#18719,#18720,#18721,#18722)); #2814=EDGE_LOOP('',(#18723,#18724,#18725,#18726,#18727,#18728)); #2815=EDGE_LOOP('',(#18729,#18730,#18731,#18732)); #2816=EDGE_LOOP('',(#18733,#18734,#18735,#18736)); #2817=EDGE_LOOP('',(#18737,#18738,#18739,#18740)); #2818=EDGE_LOOP('',(#18741,#18742,#18743,#18744,#18745,#18746)); #2819=EDGE_LOOP('',(#18747,#18748,#18749,#18750)); #2820=EDGE_LOOP('',(#18751,#18752,#18753,#18754)); #2821=EDGE_LOOP('',(#18755,#18756,#18757,#18758)); #2822=EDGE_LOOP('',(#18759,#18760,#18761,#18762,#18763,#18764)); #2823=EDGE_LOOP('',(#18765,#18766,#18767,#18768)); #2824=EDGE_LOOP('',(#18769,#18770,#18771,#18772)); #2825=EDGE_LOOP('',(#18773,#18774,#18775,#18776)); #2826=EDGE_LOOP('',(#18777,#18778,#18779,#18780,#18781,#18782)); #2827=EDGE_LOOP('',(#18783,#18784,#18785,#18786)); #2828=EDGE_LOOP('',(#18787,#18788,#18789,#18790)); #2829=EDGE_LOOP('',(#18791,#18792,#18793,#18794)); #2830=EDGE_LOOP('',(#18795,#18796,#18797,#18798,#18799,#18800)); #2831=EDGE_LOOP('',(#18801,#18802,#18803,#18804)); #2832=EDGE_LOOP('',(#18805,#18806,#18807,#18808)); #2833=EDGE_LOOP('',(#18809,#18810,#18811,#18812)); #2834=EDGE_LOOP('',(#18813,#18814,#18815,#18816,#18817,#18818)); #2835=EDGE_LOOP('',(#18819,#18820,#18821,#18822)); #2836=EDGE_LOOP('',(#18823,#18824,#18825,#18826)); #2837=EDGE_LOOP('',(#18827,#18828,#18829,#18830)); #2838=EDGE_LOOP('',(#18831,#18832,#18833,#18834,#18835,#18836)); #2839=EDGE_LOOP('',(#18837,#18838,#18839,#18840)); #2840=EDGE_LOOP('',(#18841,#18842,#18843,#18844)); #2841=EDGE_LOOP('',(#18845,#18846,#18847,#18848)); #2842=EDGE_LOOP('',(#18849,#18850,#18851,#18852,#18853,#18854)); #2843=EDGE_LOOP('',(#18855,#18856,#18857,#18858)); #2844=EDGE_LOOP('',(#18859,#18860,#18861,#18862)); #2845=EDGE_LOOP('',(#18863,#18864,#18865,#18866)); #2846=EDGE_LOOP('',(#18867,#18868,#18869,#18870,#18871,#18872)); #2847=EDGE_LOOP('',(#18873,#18874,#18875,#18876)); #2848=EDGE_LOOP('',(#18877,#18878,#18879,#18880)); #2849=EDGE_LOOP('',(#18881,#18882,#18883,#18884)); #2850=EDGE_LOOP('',(#18885,#18886,#18887,#18888,#18889,#18890)); #2851=EDGE_LOOP('',(#18891,#18892,#18893,#18894)); #2852=EDGE_LOOP('',(#18895,#18896,#18897,#18898)); #2853=EDGE_LOOP('',(#18899,#18900,#18901,#18902)); #2854=EDGE_LOOP('',(#18903,#18904,#18905,#18906,#18907,#18908)); #2855=EDGE_LOOP('',(#18909,#18910,#18911,#18912)); #2856=EDGE_LOOP('',(#18913,#18914,#18915,#18916)); #2857=EDGE_LOOP('',(#18917,#18918,#18919,#18920)); #2858=EDGE_LOOP('',(#18921,#18922,#18923,#18924,#18925,#18926)); #2859=EDGE_LOOP('',(#18927,#18928,#18929,#18930)); #2860=EDGE_LOOP('',(#18931,#18932,#18933,#18934)); #2861=EDGE_LOOP('',(#18935,#18936,#18937,#18938)); #2862=EDGE_LOOP('',(#18939,#18940,#18941,#18942,#18943,#18944)); #2863=EDGE_LOOP('',(#18945,#18946,#18947,#18948)); #2864=EDGE_LOOP('',(#18949,#18950,#18951,#18952)); #2865=EDGE_LOOP('',(#18953,#18954,#18955,#18956)); #2866=EDGE_LOOP('',(#18957,#18958,#18959,#18960,#18961,#18962)); #2867=EDGE_LOOP('',(#18963,#18964,#18965,#18966)); #2868=EDGE_LOOP('',(#18967,#18968,#18969,#18970)); #2869=EDGE_LOOP('',(#18971,#18972,#18973,#18974)); #2870=EDGE_LOOP('',(#18975,#18976,#18977,#18978,#18979,#18980)); #2871=EDGE_LOOP('',(#18981,#18982,#18983,#18984)); #2872=EDGE_LOOP('',(#18985,#18986,#18987,#18988)); #2873=EDGE_LOOP('',(#18989,#18990,#18991,#18992)); #2874=EDGE_LOOP('',(#18993,#18994,#18995,#18996,#18997,#18998)); #2875=EDGE_LOOP('',(#18999,#19000,#19001,#19002)); #2876=EDGE_LOOP('',(#19003,#19004,#19005,#19006)); #2877=EDGE_LOOP('',(#19007,#19008,#19009,#19010)); #2878=EDGE_LOOP('',(#19011,#19012,#19013,#19014,#19015,#19016)); #2879=EDGE_LOOP('',(#19017,#19018,#19019,#19020)); #2880=EDGE_LOOP('',(#19021,#19022,#19023,#19024)); #2881=EDGE_LOOP('',(#19025,#19026,#19027,#19028)); #2882=EDGE_LOOP('',(#19029,#19030,#19031,#19032,#19033,#19034)); #2883=EDGE_LOOP('',(#19035,#19036,#19037,#19038)); #2884=EDGE_LOOP('',(#19039,#19040,#19041,#19042)); #2885=EDGE_LOOP('',(#19043,#19044,#19045,#19046)); #2886=EDGE_LOOP('',(#19047,#19048,#19049,#19050,#19051,#19052)); #2887=EDGE_LOOP('',(#19053,#19054,#19055,#19056)); #2888=EDGE_LOOP('',(#19057,#19058,#19059,#19060)); #2889=EDGE_LOOP('',(#19061,#19062,#19063,#19064)); #2890=EDGE_LOOP('',(#19065,#19066,#19067,#19068,#19069,#19070)); #2891=EDGE_LOOP('',(#19071,#19072,#19073,#19074)); #2892=EDGE_LOOP('',(#19075,#19076,#19077,#19078)); #2893=EDGE_LOOP('',(#19079,#19080,#19081,#19082)); #2894=EDGE_LOOP('',(#19083,#19084,#19085,#19086,#19087,#19088)); #2895=EDGE_LOOP('',(#19089,#19090,#19091,#19092)); #2896=EDGE_LOOP('',(#19093,#19094,#19095,#19096)); #2897=EDGE_LOOP('',(#19097,#19098,#19099,#19100)); #2898=EDGE_LOOP('',(#19101,#19102,#19103,#19104,#19105,#19106)); #2899=EDGE_LOOP('',(#19107,#19108,#19109,#19110)); #2900=EDGE_LOOP('',(#19111,#19112,#19113,#19114)); #2901=EDGE_LOOP('',(#19115,#19116,#19117,#19118)); #2902=EDGE_LOOP('',(#19119,#19120,#19121,#19122,#19123,#19124)); #2903=EDGE_LOOP('',(#19125,#19126,#19127,#19128)); #2904=EDGE_LOOP('',(#19129,#19130,#19131,#19132)); #2905=EDGE_LOOP('',(#19133,#19134,#19135,#19136)); #2906=EDGE_LOOP('',(#19137,#19138,#19139,#19140,#19141,#19142)); #2907=EDGE_LOOP('',(#19143,#19144,#19145,#19146)); #2908=EDGE_LOOP('',(#19147,#19148,#19149,#19150)); #2909=EDGE_LOOP('',(#19151,#19152,#19153,#19154)); #2910=EDGE_LOOP('',(#19155,#19156,#19157,#19158,#19159,#19160)); #2911=EDGE_LOOP('',(#19161,#19162,#19163,#19164)); #2912=EDGE_LOOP('',(#19165,#19166,#19167,#19168)); #2913=EDGE_LOOP('',(#19169,#19170,#19171,#19172)); #2914=EDGE_LOOP('',(#19173,#19174,#19175,#19176,#19177,#19178)); #2915=EDGE_LOOP('',(#19179,#19180,#19181,#19182)); #2916=EDGE_LOOP('',(#19183,#19184,#19185,#19186)); #2917=EDGE_LOOP('',(#19187,#19188,#19189,#19190)); #2918=EDGE_LOOP('',(#19191,#19192,#19193,#19194,#19195,#19196)); #2919=EDGE_LOOP('',(#19197,#19198,#19199,#19200)); #2920=EDGE_LOOP('',(#19201,#19202,#19203,#19204)); #2921=EDGE_LOOP('',(#19205,#19206,#19207,#19208)); #2922=EDGE_LOOP('',(#19209,#19210,#19211,#19212)); #2923=EDGE_LOOP('',(#19213,#19214,#19215,#19216)); #2924=EDGE_LOOP('',(#19217,#19218,#19219,#19220)); #2925=EDGE_LOOP('',(#19221,#19222,#19223,#19224)); #2926=EDGE_LOOP('',(#19225,#19226,#19227,#19228)); #2927=EDGE_LOOP('',(#19229,#19230,#19231,#19232)); #2928=EDGE_LOOP('',(#19233,#19234,#19235,#19236)); #2929=EDGE_LOOP('',(#19237,#19238,#19239,#19240)); #2930=EDGE_LOOP('',(#19241,#19242,#19243,#19244)); #2931=EDGE_LOOP('',(#19245,#19246,#19247,#19248)); #2932=EDGE_LOOP('',(#19249,#19250,#19251,#19252)); #2933=EDGE_LOOP('',(#19253,#19254,#19255,#19256)); #2934=EDGE_LOOP('',(#19257,#19258,#19259,#19260)); #2935=EDGE_LOOP('',(#19261,#19262,#19263,#19264)); #2936=EDGE_LOOP('',(#19265,#19266,#19267,#19268)); #2937=EDGE_LOOP('',(#19269,#19270,#19271,#19272)); #2938=EDGE_LOOP('',(#19273,#19274,#19275,#19276)); #2939=EDGE_LOOP('',(#19277,#19278,#19279,#19280)); #2940=EDGE_LOOP('',(#19281,#19282,#19283,#19284)); #2941=EDGE_LOOP('',(#19285,#19286,#19287,#19288)); #2942=EDGE_LOOP('',(#19289,#19290,#19291,#19292)); #2943=EDGE_LOOP('',(#19293,#19294,#19295,#19296)); #2944=EDGE_LOOP('',(#19297,#19298,#19299,#19300)); #2945=EDGE_LOOP('',(#19301,#19302,#19303,#19304)); #2946=EDGE_LOOP('',(#19305,#19306,#19307,#19308)); #2947=EDGE_LOOP('',(#19309,#19310,#19311,#19312)); #2948=EDGE_LOOP('',(#19313,#19314,#19315,#19316)); #2949=EDGE_LOOP('',(#19317,#19318,#19319,#19320)); #2950=EDGE_LOOP('',(#19321,#19322,#19323,#19324)); #2951=EDGE_LOOP('',(#19325,#19326,#19327,#19328)); #2952=EDGE_LOOP('',(#19329,#19330,#19331,#19332)); #2953=EDGE_LOOP('',(#19333,#19334,#19335,#19336)); #2954=EDGE_LOOP('',(#19337,#19338,#19339,#19340)); #2955=EDGE_LOOP('',(#19341,#19342,#19343,#19344)); #2956=EDGE_LOOP('',(#19345,#19346,#19347,#19348)); #2957=EDGE_LOOP('',(#19349,#19350,#19351,#19352)); #2958=EDGE_LOOP('',(#19353,#19354,#19355,#19356)); #2959=EDGE_LOOP('',(#19357,#19358,#19359,#19360)); #2960=EDGE_LOOP('',(#19361,#19362,#19363,#19364)); #2961=EDGE_LOOP('',(#19365,#19366,#19367,#19368)); #2962=EDGE_LOOP('',(#19369,#19370,#19371,#19372,#19373,#19374)); #2963=EDGE_LOOP('',(#19375,#19376,#19377,#19378)); #2964=EDGE_LOOP('',(#19379,#19380,#19381,#19382)); #2965=EDGE_LOOP('',(#19383,#19384,#19385,#19386)); #2966=EDGE_LOOP('',(#19387,#19388,#19389,#19390)); #2967=EDGE_LOOP('',(#19391,#19392,#19393,#19394)); #2968=EDGE_LOOP('',(#19395,#19396,#19397,#19398)); #2969=EDGE_LOOP('',(#19399,#19400,#19401,#19402,#19403,#19404)); #2970=EDGE_LOOP('',(#19405,#19406,#19407,#19408,#19409,#19410)); #2971=EDGE_LOOP('',(#19411,#19412,#19413,#19414)); #2972=EDGE_LOOP('',(#19415,#19416,#19417,#19418)); #2973=EDGE_LOOP('',(#19419,#19420,#19421,#19422)); #2974=EDGE_LOOP('',(#19423,#19424,#19425,#19426)); #2975=EDGE_LOOP('',(#19427,#19428,#19429,#19430)); #2976=EDGE_LOOP('',(#19431,#19432,#19433,#19434)); #2977=EDGE_LOOP('',(#19435,#19436,#19437,#19438,#19439,#19440)); #2978=EDGE_LOOP('',(#19441,#19442,#19443,#19444,#19445,#19446)); #2979=EDGE_LOOP('',(#19447,#19448,#19449,#19450)); #2980=EDGE_LOOP('',(#19451,#19452,#19453,#19454)); #2981=EDGE_LOOP('',(#19455,#19456,#19457,#19458)); #2982=EDGE_LOOP('',(#19459,#19460,#19461,#19462)); #2983=EDGE_LOOP('',(#19463,#19464,#19465,#19466)); #2984=EDGE_LOOP('',(#19467,#19468,#19469,#19470)); #2985=EDGE_LOOP('',(#19471,#19472,#19473,#19474,#19475,#19476)); #2986=EDGE_LOOP('',(#19477,#19478,#19479,#19480,#19481,#19482)); #2987=EDGE_LOOP('',(#19483,#19484,#19485,#19486)); #2988=EDGE_LOOP('',(#19487,#19488,#19489,#19490)); #2989=EDGE_LOOP('',(#19491,#19492,#19493,#19494)); #2990=EDGE_LOOP('',(#19495,#19496,#19497,#19498)); #2991=EDGE_LOOP('',(#19499,#19500,#19501,#19502)); #2992=EDGE_LOOP('',(#19503,#19504,#19505,#19506)); #2993=EDGE_LOOP('',(#19507,#19508,#19509,#19510,#19511,#19512)); #2994=EDGE_LOOP('',(#19513,#19514,#19515,#19516,#19517,#19518)); #2995=EDGE_LOOP('',(#19519,#19520,#19521,#19522)); #2996=EDGE_LOOP('',(#19523,#19524,#19525,#19526)); #2997=EDGE_LOOP('',(#19527,#19528,#19529,#19530)); #2998=EDGE_LOOP('',(#19531,#19532,#19533,#19534)); #2999=EDGE_LOOP('',(#19535,#19536,#19537,#19538)); #3000=EDGE_LOOP('',(#19539,#19540,#19541,#19542)); #3001=EDGE_LOOP('',(#19543,#19544,#19545,#19546,#19547,#19548)); #3002=EDGE_LOOP('',(#19549,#19550,#19551,#19552,#19553,#19554)); #3003=EDGE_LOOP('',(#19555,#19556,#19557,#19558)); #3004=EDGE_LOOP('',(#19559,#19560,#19561,#19562)); #3005=EDGE_LOOP('',(#19563,#19564,#19565,#19566)); #3006=EDGE_LOOP('',(#19567,#19568,#19569,#19570)); #3007=EDGE_LOOP('',(#19571,#19572,#19573,#19574)); #3008=EDGE_LOOP('',(#19575,#19576,#19577,#19578)); #3009=EDGE_LOOP('',(#19579,#19580,#19581,#19582,#19583,#19584)); #3010=EDGE_LOOP('',(#19585,#19586,#19587,#19588,#19589,#19590)); #3011=EDGE_LOOP('',(#19591,#19592,#19593,#19594)); #3012=EDGE_LOOP('',(#19595,#19596,#19597,#19598)); #3013=EDGE_LOOP('',(#19599,#19600,#19601,#19602)); #3014=EDGE_LOOP('',(#19603,#19604,#19605,#19606)); #3015=EDGE_LOOP('',(#19607,#19608,#19609,#19610)); #3016=EDGE_LOOP('',(#19611,#19612,#19613,#19614)); #3017=EDGE_LOOP('',(#19615,#19616,#19617,#19618,#19619,#19620)); #3018=EDGE_LOOP('',(#19621,#19622,#19623,#19624,#19625,#19626)); #3019=EDGE_LOOP('',(#19627,#19628,#19629,#19630)); #3020=EDGE_LOOP('',(#19631,#19632,#19633,#19634)); #3021=EDGE_LOOP('',(#19635,#19636,#19637,#19638)); #3022=EDGE_LOOP('',(#19639,#19640,#19641,#19642)); #3023=EDGE_LOOP('',(#19643,#19644,#19645,#19646)); #3024=EDGE_LOOP('',(#19647,#19648,#19649,#19650)); #3025=EDGE_LOOP('',(#19651,#19652,#19653,#19654,#19655,#19656)); #3026=EDGE_LOOP('',(#19657,#19658,#19659,#19660,#19661,#19662)); #3027=EDGE_LOOP('',(#19663,#19664,#19665,#19666)); #3028=EDGE_LOOP('',(#19667,#19668,#19669,#19670)); #3029=EDGE_LOOP('',(#19671,#19672,#19673,#19674)); #3030=EDGE_LOOP('',(#19675,#19676,#19677,#19678)); #3031=EDGE_LOOP('',(#19679,#19680,#19681,#19682)); #3032=EDGE_LOOP('',(#19683,#19684,#19685,#19686)); #3033=EDGE_LOOP('',(#19687,#19688,#19689,#19690)); #3034=EDGE_LOOP('',(#19691,#19692,#19693,#19694)); #3035=EDGE_LOOP('',(#19695,#19696,#19697,#19698)); #3036=EDGE_LOOP('',(#19699,#19700,#19701,#19702)); #3037=EDGE_LOOP('',(#19703,#19704,#19705,#19706)); #3038=EDGE_LOOP('',(#19707,#19708,#19709,#19710)); #3039=EDGE_LOOP('',(#19711,#19712,#19713,#19714)); #3040=EDGE_LOOP('',(#19715,#19716,#19717,#19718)); #3041=EDGE_LOOP('',(#19719,#19720,#19721,#19722)); #3042=EDGE_LOOP('',(#19723,#19724,#19725,#19726)); #3043=EDGE_LOOP('',(#19727,#19728,#19729,#19730)); #3044=EDGE_LOOP('',(#19731,#19732,#19733,#19734)); #3045=EDGE_LOOP('',(#19735,#19736,#19737,#19738)); #3046=EDGE_LOOP('',(#19739,#19740,#19741,#19742)); #3047=EDGE_LOOP('',(#19743,#19744,#19745,#19746)); #3048=EDGE_LOOP('',(#19747,#19748,#19749,#19750)); #3049=EDGE_LOOP('',(#19751,#19752,#19753,#19754,#19755,#19756,#19757,#19758, #19759,#19760,#19761)); #3050=EDGE_LOOP('',(#19762,#19763,#19764,#19765,#19766,#19767,#19768,#19769, #19770,#19771,#19772)); #3051=EDGE_LOOP('',(#19773,#19774,#19775,#19776)); #3052=EDGE_LOOP('',(#19777,#19778,#19779,#19780)); #3053=EDGE_LOOP('',(#19781,#19782,#19783,#19784)); #3054=EDGE_LOOP('',(#19785,#19786,#19787,#19788)); #3055=EDGE_LOOP('',(#19789,#19790,#19791,#19792)); #3056=EDGE_LOOP('',(#19793,#19794,#19795,#19796)); #3057=EDGE_LOOP('',(#19797,#19798,#19799,#19800)); #3058=EDGE_LOOP('',(#19801,#19802,#19803,#19804)); #3059=EDGE_LOOP('',(#19805,#19806,#19807,#19808)); #3060=EDGE_LOOP('',(#19809,#19810,#19811,#19812)); #3061=EDGE_LOOP('',(#19813,#19814,#19815,#19816)); #3062=EDGE_LOOP('',(#19817,#19818,#19819,#19820)); #3063=EDGE_LOOP('',(#19821,#19822,#19823,#19824)); #3064=EDGE_LOOP('',(#19825,#19826,#19827,#19828)); #3065=EDGE_LOOP('',(#19829,#19830,#19831,#19832)); #3066=EDGE_LOOP('',(#19833,#19834,#19835,#19836)); #3067=EDGE_LOOP('',(#19837,#19838,#19839,#19840)); #3068=EDGE_LOOP('',(#19841,#19842,#19843,#19844)); #3069=EDGE_LOOP('',(#19845,#19846,#19847,#19848)); #3070=EDGE_LOOP('',(#19849,#19850,#19851,#19852)); #3071=EDGE_LOOP('',(#19853,#19854,#19855,#19856)); #3072=EDGE_LOOP('',(#19857,#19858,#19859,#19860)); #3073=EDGE_LOOP('',(#19861,#19862,#19863,#19864)); #3074=EDGE_LOOP('',(#19865,#19866,#19867,#19868)); #3075=EDGE_LOOP('',(#19869,#19870,#19871,#19872)); #3076=EDGE_LOOP('',(#19873,#19874,#19875,#19876)); #3077=EDGE_LOOP('',(#19877,#19878,#19879,#19880)); #3078=EDGE_LOOP('',(#19881,#19882,#19883,#19884)); #3079=EDGE_LOOP('',(#19885,#19886,#19887,#19888)); #3080=EDGE_LOOP('',(#19889,#19890,#19891,#19892)); #3081=EDGE_LOOP('',(#19893,#19894,#19895,#19896)); #3082=EDGE_LOOP('',(#19897,#19898,#19899,#19900,#19901,#19902,#19903,#19904, #19905,#19906,#19907,#19908,#19909,#19910,#19911,#19912,#19913,#19914,#19915, #19916,#19917,#19918,#19919,#19920,#19921,#19922,#19923,#19924,#19925,#19926, #19927)); #3083=EDGE_LOOP('',(#19928,#19929,#19930,#19931)); #3084=EDGE_LOOP('',(#19932,#19933,#19934,#19935)); #3085=EDGE_LOOP('',(#19936,#19937,#19938,#19939)); #3086=EDGE_LOOP('',(#19940,#19941,#19942,#19943)); #3087=EDGE_LOOP('',(#19944,#19945,#19946,#19947)); #3088=EDGE_LOOP('',(#19948,#19949,#19950,#19951)); #3089=EDGE_LOOP('',(#19952,#19953,#19954,#19955)); #3090=EDGE_LOOP('',(#19956,#19957,#19958,#19959)); #3091=EDGE_LOOP('',(#19960,#19961,#19962,#19963)); #3092=EDGE_LOOP('',(#19964,#19965,#19966,#19967)); #3093=EDGE_LOOP('',(#19968,#19969,#19970,#19971)); #3094=EDGE_LOOP('',(#19972,#19973,#19974,#19975)); #3095=EDGE_LOOP('',(#19976,#19977,#19978,#19979)); #3096=EDGE_LOOP('',(#19980,#19981,#19982,#19983)); #3097=EDGE_LOOP('',(#19984,#19985,#19986,#19987)); #3098=EDGE_LOOP('',(#19988,#19989,#19990,#19991)); #3099=EDGE_LOOP('',(#19992,#19993,#19994,#19995)); #3100=EDGE_LOOP('',(#19996,#19997,#19998,#19999)); #3101=EDGE_LOOP('',(#20000,#20001,#20002,#20003)); #3102=EDGE_LOOP('',(#20004,#20005,#20006,#20007)); #3103=EDGE_LOOP('',(#20008,#20009,#20010,#20011)); #3104=EDGE_LOOP('',(#20012,#20013,#20014,#20015)); #3105=EDGE_LOOP('',(#20016,#20017,#20018,#20019)); #3106=EDGE_LOOP('',(#20020,#20021,#20022,#20023)); #3107=EDGE_LOOP('',(#20024,#20025,#20026,#20027)); #3108=EDGE_LOOP('',(#20028,#20029,#20030,#20031)); #3109=EDGE_LOOP('',(#20032,#20033,#20034,#20035)); #3110=EDGE_LOOP('',(#20036,#20037,#20038,#20039)); #3111=EDGE_LOOP('',(#20040,#20041,#20042,#20043)); #3112=EDGE_LOOP('',(#20044,#20045,#20046,#20047)); #3113=EDGE_LOOP('',(#20048,#20049,#20050,#20051)); #3114=EDGE_LOOP('',(#20052,#20053,#20054,#20055)); #3115=EDGE_LOOP('',(#20056,#20057,#20058,#20059)); #3116=EDGE_LOOP('',(#20060,#20061,#20062,#20063,#20064,#20065,#20066,#20067, #20068,#20069,#20070,#20071,#20072,#20073,#20074,#20075,#20076,#20077,#20078, #20079,#20080,#20081,#20082,#20083,#20084,#20085,#20086,#20087,#20088,#20089, #20090,#20091,#20092)); #3117=EDGE_LOOP('',(#20093,#20094,#20095,#20096)); #3118=EDGE_LOOP('',(#20097,#20098,#20099,#20100)); #3119=EDGE_LOOP('',(#20101,#20102,#20103,#20104)); #3120=EDGE_LOOP('',(#20105,#20106,#20107,#20108)); #3121=EDGE_LOOP('',(#20109,#20110,#20111,#20112)); #3122=EDGE_LOOP('',(#20113,#20114,#20115,#20116)); #3123=EDGE_LOOP('',(#20117,#20118,#20119,#20120)); #3124=EDGE_LOOP('',(#20121,#20122,#20123,#20124)); #3125=EDGE_LOOP('',(#20125,#20126,#20127,#20128)); #3126=EDGE_LOOP('',(#20129,#20130,#20131,#20132)); #3127=EDGE_LOOP('',(#20133,#20134,#20135,#20136)); #3128=EDGE_LOOP('',(#20137,#20138,#20139,#20140)); #3129=EDGE_LOOP('',(#20141,#20142,#20143,#20144)); #3130=EDGE_LOOP('',(#20145,#20146,#20147,#20148)); #3131=EDGE_LOOP('',(#20149,#20150,#20151,#20152)); #3132=EDGE_LOOP('',(#20153,#20154,#20155,#20156)); #3133=EDGE_LOOP('',(#20157,#20158,#20159,#20160)); #3134=EDGE_LOOP('',(#20161,#20162,#20163,#20164)); #3135=EDGE_LOOP('',(#20165,#20166,#20167,#20168)); #3136=EDGE_LOOP('',(#20169,#20170,#20171,#20172)); #3137=EDGE_LOOP('',(#20173,#20174,#20175,#20176)); #3138=EDGE_LOOP('',(#20177,#20178,#20179,#20180)); #3139=EDGE_LOOP('',(#20181,#20182,#20183,#20184)); #3140=EDGE_LOOP('',(#20185,#20186,#20187,#20188)); #3141=EDGE_LOOP('',(#20189,#20190,#20191,#20192)); #3142=EDGE_LOOP('',(#20193,#20194,#20195,#20196)); #3143=EDGE_LOOP('',(#20197,#20198,#20199,#20200)); #3144=EDGE_LOOP('',(#20201,#20202,#20203,#20204)); #3145=EDGE_LOOP('',(#20205,#20206,#20207,#20208)); #3146=EDGE_LOOP('',(#20209,#20210,#20211,#20212)); #3147=EDGE_LOOP('',(#20213,#20214,#20215,#20216)); #3148=EDGE_LOOP('',(#20217,#20218,#20219,#20220)); #3149=EDGE_LOOP('',(#20221,#20222,#20223,#20224)); #3150=EDGE_LOOP('',(#20225,#20226,#20227,#20228)); #3151=EDGE_LOOP('',(#20229,#20230,#20231,#20232)); #3152=EDGE_LOOP('',(#20233,#20234,#20235,#20236)); #3153=EDGE_LOOP('',(#20237,#20238,#20239,#20240)); #3154=EDGE_LOOP('',(#20241,#20242,#20243,#20244)); #3155=EDGE_LOOP('',(#20245,#20246,#20247,#20248)); #3156=EDGE_LOOP('',(#20249,#20250,#20251,#20252)); #3157=EDGE_LOOP('',(#20253,#20254,#20255,#20256)); #3158=EDGE_LOOP('',(#20257,#20258,#20259,#20260)); #3159=EDGE_LOOP('',(#20261,#20262,#20263,#20264)); #3160=EDGE_LOOP('',(#20265,#20266,#20267,#20268)); #3161=EDGE_LOOP('',(#20269,#20270,#20271,#20272)); #3162=EDGE_LOOP('',(#20273,#20274,#20275,#20276)); #3163=EDGE_LOOP('',(#20277,#20278,#20279,#20280)); #3164=EDGE_LOOP('',(#20281,#20282,#20283,#20284)); #3165=EDGE_LOOP('',(#20285,#20286,#20287,#20288)); #3166=EDGE_LOOP('',(#20289,#20290,#20291,#20292)); #3167=EDGE_LOOP('',(#20293,#20294,#20295,#20296)); #3168=EDGE_LOOP('',(#20297,#20298,#20299,#20300)); #3169=EDGE_LOOP('',(#20301,#20302,#20303,#20304)); #3170=EDGE_LOOP('',(#20305,#20306,#20307,#20308)); #3171=EDGE_LOOP('',(#20309,#20310,#20311,#20312)); #3172=EDGE_LOOP('',(#20313,#20314,#20315,#20316)); #3173=EDGE_LOOP('',(#20317,#20318,#20319,#20320)); #3174=EDGE_LOOP('',(#20321,#20322,#20323,#20324)); #3175=EDGE_LOOP('',(#20325,#20326,#20327,#20328)); #3176=EDGE_LOOP('',(#20329,#20330,#20331,#20332)); #3177=EDGE_LOOP('',(#20333,#20334,#20335,#20336)); #3178=EDGE_LOOP('',(#20337,#20338,#20339,#20340)); #3179=EDGE_LOOP('',(#20341,#20342,#20343,#20344)); #3180=EDGE_LOOP('',(#20345,#20346,#20347,#20348)); #3181=EDGE_LOOP('',(#20349,#20350,#20351,#20352)); #3182=EDGE_LOOP('',(#20353,#20354,#20355,#20356)); #3183=EDGE_LOOP('',(#20357,#20358,#20359,#20360)); #3184=EDGE_LOOP('',(#20361,#20362,#20363,#20364)); #3185=EDGE_LOOP('',(#20365,#20366,#20367,#20368)); #3186=EDGE_LOOP('',(#20369,#20370,#20371,#20372)); #3187=EDGE_LOOP('',(#20373,#20374,#20375,#20376)); #3188=EDGE_LOOP('',(#20377,#20378,#20379,#20380)); #3189=EDGE_LOOP('',(#20381,#20382,#20383,#20384)); #3190=EDGE_LOOP('',(#20385,#20386,#20387,#20388)); #3191=EDGE_LOOP('',(#20389,#20390,#20391,#20392)); #3192=EDGE_LOOP('',(#20393,#20394,#20395,#20396)); #3193=EDGE_LOOP('',(#20397,#20398,#20399,#20400)); #3194=EDGE_LOOP('',(#20401,#20402,#20403,#20404)); #3195=EDGE_LOOP('',(#20405,#20406,#20407,#20408)); #3196=EDGE_LOOP('',(#20409,#20410,#20411,#20412)); #3197=EDGE_LOOP('',(#20413,#20414,#20415,#20416)); #3198=EDGE_LOOP('',(#20417,#20418,#20419,#20420)); #3199=EDGE_LOOP('',(#20421,#20422,#20423,#20424)); #3200=EDGE_LOOP('',(#20425,#20426,#20427,#20428)); #3201=EDGE_LOOP('',(#20429,#20430,#20431,#20432,#20433,#20434,#20435,#20436, #20437,#20438,#20439,#20440,#20441,#20442,#20443,#20444,#20445,#20446,#20447, #20448,#20449,#20450,#20451,#20452,#20453,#20454,#20455,#20456,#20457,#20458, #20459,#20460,#20461,#20462,#20463,#20464,#20465,#20466,#20467,#20468,#20469, #20470,#20471,#20472,#20473,#20474,#20475,#20476,#20477,#20478,#20479,#20480, #20481,#20482,#20483,#20484,#20485,#20486,#20487,#20488,#20489,#20490,#20491, #20492,#20493,#20494,#20495,#20496,#20497,#20498,#20499,#20500,#20501,#20502, #20503,#20504,#20505,#20506,#20507,#20508,#20509,#20510,#20511,#20512)); #3202=EDGE_LOOP('',(#20513,#20514,#20515,#20516)); #3203=EDGE_LOOP('',(#20517,#20518,#20519,#20520)); #3204=EDGE_LOOP('',(#20521,#20522,#20523,#20524)); #3205=EDGE_LOOP('',(#20525,#20526,#20527,#20528)); #3206=EDGE_LOOP('',(#20529,#20530,#20531,#20532)); #3207=EDGE_LOOP('',(#20533,#20534,#20535,#20536)); #3208=EDGE_LOOP('',(#20537,#20538,#20539,#20540)); #3209=EDGE_LOOP('',(#20541,#20542,#20543,#20544)); #3210=EDGE_LOOP('',(#20545,#20546,#20547,#20548)); #3211=EDGE_LOOP('',(#20549,#20550,#20551,#20552)); #3212=EDGE_LOOP('',(#20553,#20554,#20555,#20556)); #3213=EDGE_LOOP('',(#20557,#20558,#20559,#20560)); #3214=EDGE_LOOP('',(#20561,#20562,#20563,#20564)); #3215=EDGE_LOOP('',(#20565,#20566,#20567,#20568)); #3216=EDGE_LOOP('',(#20569,#20570,#20571,#20572)); #3217=EDGE_LOOP('',(#20573,#20574,#20575,#20576)); #3218=EDGE_LOOP('',(#20577,#20578,#20579,#20580)); #3219=EDGE_LOOP('',(#20581,#20582,#20583,#20584)); #3220=EDGE_LOOP('',(#20585,#20586,#20587,#20588)); #3221=EDGE_LOOP('',(#20589,#20590,#20591,#20592)); #3222=EDGE_LOOP('',(#20593,#20594,#20595,#20596)); #3223=EDGE_LOOP('',(#20597,#20598,#20599,#20600)); #3224=EDGE_LOOP('',(#20601,#20602,#20603,#20604)); #3225=EDGE_LOOP('',(#20605,#20606,#20607,#20608)); #3226=EDGE_LOOP('',(#20609,#20610,#20611,#20612)); #3227=EDGE_LOOP('',(#20613,#20614,#20615,#20616)); #3228=EDGE_LOOP('',(#20617,#20618,#20619,#20620)); #3229=EDGE_LOOP('',(#20621,#20622,#20623,#20624)); #3230=EDGE_LOOP('',(#20625,#20626,#20627,#20628)); #3231=EDGE_LOOP('',(#20629,#20630,#20631,#20632)); #3232=EDGE_LOOP('',(#20633,#20634,#20635,#20636)); #3233=EDGE_LOOP('',(#20637,#20638,#20639,#20640)); #3234=EDGE_LOOP('',(#20641,#20642,#20643,#20644)); #3235=EDGE_LOOP('',(#20645,#20646,#20647,#20648)); #3236=EDGE_LOOP('',(#20649,#20650,#20651,#20652)); #3237=EDGE_LOOP('',(#20653,#20654,#20655,#20656)); #3238=EDGE_LOOP('',(#20657,#20658,#20659,#20660)); #3239=EDGE_LOOP('',(#20661,#20662,#20663,#20664)); #3240=EDGE_LOOP('',(#20665,#20666,#20667,#20668)); #3241=EDGE_LOOP('',(#20669,#20670,#20671,#20672)); #3242=EDGE_LOOP('',(#20673,#20674,#20675,#20676)); #3243=EDGE_LOOP('',(#20677,#20678,#20679,#20680)); #3244=EDGE_LOOP('',(#20681,#20682,#20683,#20684)); #3245=EDGE_LOOP('',(#20685,#20686,#20687,#20688)); #3246=EDGE_LOOP('',(#20689,#20690,#20691,#20692)); #3247=EDGE_LOOP('',(#20693,#20694,#20695,#20696)); #3248=EDGE_LOOP('',(#20697,#20698,#20699,#20700)); #3249=EDGE_LOOP('',(#20701,#20702,#20703,#20704)); #3250=EDGE_LOOP('',(#20705,#20706,#20707,#20708)); #3251=EDGE_LOOP('',(#20709,#20710,#20711,#20712)); #3252=EDGE_LOOP('',(#20713,#20714,#20715,#20716)); #3253=EDGE_LOOP('',(#20717,#20718,#20719,#20720)); #3254=EDGE_LOOP('',(#20721,#20722,#20723,#20724)); #3255=EDGE_LOOP('',(#20725,#20726,#20727,#20728)); #3256=EDGE_LOOP('',(#20729,#20730,#20731,#20732,#20733,#20734,#20735,#20736, #20737,#20738,#20739,#20740,#20741,#20742,#20743,#20744,#20745,#20746,#20747, #20748,#20749,#20750,#20751,#20752,#20753,#20754,#20755,#20756,#20757,#20758, #20759,#20760,#20761,#20762,#20763,#20764,#20765,#20766,#20767,#20768,#20769, #20770,#20771,#20772,#20773,#20774,#20775,#20776,#20777,#20778,#20779,#20780, #20781,#20782)); #3257=EDGE_LOOP('',(#20783,#20784,#20785,#20786)); #3258=EDGE_LOOP('',(#20787,#20788,#20789,#20790)); #3259=EDGE_LOOP('',(#20791,#20792,#20793,#20794)); #3260=EDGE_LOOP('',(#20795,#20796,#20797,#20798)); #3261=EDGE_LOOP('',(#20799,#20800,#20801,#20802)); #3262=EDGE_LOOP('',(#20803,#20804,#20805,#20806)); #3263=EDGE_LOOP('',(#20807,#20808,#20809,#20810)); #3264=EDGE_LOOP('',(#20811,#20812,#20813,#20814)); #3265=EDGE_LOOP('',(#20815,#20816,#20817,#20818)); #3266=EDGE_LOOP('',(#20819,#20820,#20821,#20822)); #3267=EDGE_LOOP('',(#20823,#20824,#20825,#20826)); #3268=EDGE_LOOP('',(#20827,#20828,#20829,#20830)); #3269=EDGE_LOOP('',(#20831,#20832,#20833,#20834)); #3270=EDGE_LOOP('',(#20835,#20836,#20837,#20838)); #3271=EDGE_LOOP('',(#20839,#20840,#20841,#20842)); #3272=EDGE_LOOP('',(#20843,#20844,#20845,#20846)); #3273=EDGE_LOOP('',(#20847,#20848,#20849,#20850)); #3274=EDGE_LOOP('',(#20851,#20852,#20853,#20854)); #3275=EDGE_LOOP('',(#20855,#20856,#20857,#20858)); #3276=EDGE_LOOP('',(#20859,#20860,#20861,#20862)); #3277=EDGE_LOOP('',(#20863,#20864,#20865,#20866)); #3278=EDGE_LOOP('',(#20867,#20868,#20869,#20870)); #3279=EDGE_LOOP('',(#20871,#20872,#20873,#20874)); #3280=EDGE_LOOP('',(#20875,#20876,#20877,#20878)); #3281=EDGE_LOOP('',(#20879,#20880,#20881,#20882)); #3282=EDGE_LOOP('',(#20883,#20884,#20885,#20886)); #3283=EDGE_LOOP('',(#20887,#20888,#20889,#20890)); #3284=EDGE_LOOP('',(#20891,#20892,#20893,#20894)); #3285=EDGE_LOOP('',(#20895,#20896,#20897,#20898)); #3286=EDGE_LOOP('',(#20899,#20900,#20901,#20902)); #3287=EDGE_LOOP('',(#20903,#20904,#20905,#20906)); #3288=EDGE_LOOP('',(#20907,#20908,#20909,#20910)); #3289=EDGE_LOOP('',(#20911,#20912,#20913,#20914)); #3290=EDGE_LOOP('',(#20915,#20916,#20917,#20918)); #3291=EDGE_LOOP('',(#20919,#20920,#20921,#20922)); #3292=EDGE_LOOP('',(#20923,#20924,#20925,#20926)); #3293=EDGE_LOOP('',(#20927,#20928,#20929,#20930)); #3294=EDGE_LOOP('',(#20931,#20932,#20933,#20934)); #3295=EDGE_LOOP('',(#20935,#20936,#20937,#20938)); #3296=EDGE_LOOP('',(#20939,#20940,#20941,#20942)); #3297=EDGE_LOOP('',(#20943,#20944,#20945,#20946)); #3298=EDGE_LOOP('',(#20947,#20948,#20949,#20950)); #3299=EDGE_LOOP('',(#20951,#20952,#20953,#20954)); #3300=EDGE_LOOP('',(#20955,#20956,#20957,#20958)); #3301=EDGE_LOOP('',(#20959,#20960,#20961,#20962,#20963,#20964,#20965,#20966, #20967,#20968,#20969,#20970,#20971,#20972,#20973,#20974,#20975,#20976,#20977, #20978,#20979,#20980,#20981,#20982,#20983,#20984,#20985,#20986,#20987,#20988, #20989,#20990,#20991,#20992,#20993,#20994,#20995,#20996,#20997,#20998,#20999, #21000,#21001,#21002)); #3302=EDGE_LOOP('',(#21003,#21004,#21005,#21006)); #3303=EDGE_LOOP('',(#21007,#21008,#21009,#21010)); #3304=EDGE_LOOP('',(#21011,#21012,#21013,#21014)); #3305=EDGE_LOOP('',(#21015,#21016,#21017,#21018)); #3306=EDGE_LOOP('',(#21019,#21020,#21021,#21022)); #3307=EDGE_LOOP('',(#21023,#21024,#21025,#21026)); #3308=EDGE_LOOP('',(#21027,#21028,#21029,#21030)); #3309=EDGE_LOOP('',(#21031,#21032,#21033,#21034)); #3310=EDGE_LOOP('',(#21035,#21036,#21037,#21038)); #3311=EDGE_LOOP('',(#21039,#21040,#21041,#21042)); #3312=EDGE_LOOP('',(#21043,#21044,#21045,#21046)); #3313=EDGE_LOOP('',(#21047,#21048,#21049,#21050)); #3314=EDGE_LOOP('',(#21051,#21052,#21053,#21054)); #3315=EDGE_LOOP('',(#21055,#21056,#21057,#21058)); #3316=EDGE_LOOP('',(#21059,#21060,#21061,#21062)); #3317=EDGE_LOOP('',(#21063,#21064,#21065,#21066)); #3318=EDGE_LOOP('',(#21067,#21068,#21069,#21070)); #3319=EDGE_LOOP('',(#21071,#21072,#21073,#21074)); #3320=EDGE_LOOP('',(#21075,#21076,#21077,#21078)); #3321=EDGE_LOOP('',(#21079,#21080,#21081,#21082)); #3322=EDGE_LOOP('',(#21083,#21084,#21085,#21086)); #3323=EDGE_LOOP('',(#21087,#21088,#21089,#21090)); #3324=EDGE_LOOP('',(#21091,#21092,#21093,#21094)); #3325=EDGE_LOOP('',(#21095,#21096,#21097,#21098)); #3326=EDGE_LOOP('',(#21099,#21100,#21101,#21102)); #3327=EDGE_LOOP('',(#21103,#21104,#21105,#21106)); #3328=EDGE_LOOP('',(#21107,#21108,#21109,#21110)); #3329=EDGE_LOOP('',(#21111,#21112,#21113,#21114)); #3330=EDGE_LOOP('',(#21115,#21116,#21117,#21118)); #3331=EDGE_LOOP('',(#21119,#21120,#21121,#21122)); #3332=EDGE_LOOP('',(#21123,#21124,#21125,#21126)); #3333=EDGE_LOOP('',(#21127,#21128,#21129,#21130)); #3334=EDGE_LOOP('',(#21131,#21132,#21133,#21134)); #3335=EDGE_LOOP('',(#21135,#21136,#21137,#21138)); #3336=EDGE_LOOP('',(#21139,#21140,#21141,#21142)); #3337=EDGE_LOOP('',(#21143,#21144,#21145,#21146)); #3338=EDGE_LOOP('',(#21147,#21148,#21149,#21150)); #3339=EDGE_LOOP('',(#21151,#21152,#21153,#21154)); #3340=EDGE_LOOP('',(#21155,#21156,#21157,#21158)); #3341=EDGE_LOOP('',(#21159,#21160,#21161,#21162)); #3342=EDGE_LOOP('',(#21163,#21164,#21165,#21166)); #3343=EDGE_LOOP('',(#21167,#21168,#21169,#21170)); #3344=EDGE_LOOP('',(#21171,#21172,#21173,#21174)); #3345=EDGE_LOOP('',(#21175,#21176,#21177,#21178)); #3346=EDGE_LOOP('',(#21179,#21180,#21181,#21182)); #3347=EDGE_LOOP('',(#21183,#21184,#21185,#21186)); #3348=EDGE_LOOP('',(#21187,#21188,#21189,#21190)); #3349=EDGE_LOOP('',(#21191,#21192,#21193,#21194)); #3350=EDGE_LOOP('',(#21195,#21196,#21197,#21198)); #3351=EDGE_LOOP('',(#21199,#21200,#21201,#21202)); #3352=EDGE_LOOP('',(#21203,#21204,#21205,#21206)); #3353=EDGE_LOOP('',(#21207,#21208,#21209,#21210)); #3354=EDGE_LOOP('',(#21211,#21212,#21213,#21214)); #3355=EDGE_LOOP('',(#21215,#21216,#21217,#21218)); #3356=EDGE_LOOP('',(#21219,#21220,#21221,#21222,#21223,#21224,#21225,#21226, #21227,#21228,#21229,#21230,#21231,#21232,#21233,#21234,#21235,#21236,#21237, #21238,#21239,#21240,#21241,#21242,#21243,#21244,#21245,#21246,#21247,#21248, #21249,#21250,#21251,#21252,#21253,#21254,#21255,#21256,#21257,#21258,#21259, #21260,#21261,#21262,#21263,#21264,#21265,#21266,#21267,#21268,#21269,#21270, #21271,#21272)); #3357=EDGE_LOOP('',(#21273,#21274,#21275,#21276)); #3358=EDGE_LOOP('',(#21277,#21278,#21279,#21280)); #3359=EDGE_LOOP('',(#21281,#21282,#21283,#21284)); #3360=EDGE_LOOP('',(#21285,#21286,#21287,#21288)); #3361=EDGE_LOOP('',(#21289,#21290,#21291,#21292)); #3362=EDGE_LOOP('',(#21293,#21294,#21295,#21296)); #3363=EDGE_LOOP('',(#21297,#21298,#21299,#21300)); #3364=EDGE_LOOP('',(#21301,#21302,#21303,#21304)); #3365=EDGE_LOOP('',(#21305,#21306,#21307,#21308)); #3366=EDGE_LOOP('',(#21309,#21310,#21311,#21312)); #3367=EDGE_LOOP('',(#21313,#21314,#21315,#21316)); #3368=EDGE_LOOP('',(#21317,#21318,#21319,#21320)); #3369=EDGE_LOOP('',(#21321,#21322,#21323,#21324)); #3370=EDGE_LOOP('',(#21325,#21326,#21327,#21328)); #3371=EDGE_LOOP('',(#21329,#21330,#21331,#21332)); #3372=EDGE_LOOP('',(#21333,#21334,#21335,#21336)); #3373=EDGE_LOOP('',(#21337,#21338,#21339,#21340)); #3374=EDGE_LOOP('',(#21341,#21342,#21343,#21344)); #3375=EDGE_LOOP('',(#21345,#21346,#21347,#21348)); #3376=EDGE_LOOP('',(#21349,#21350,#21351,#21352)); #3377=EDGE_LOOP('',(#21353,#21354,#21355,#21356)); #3378=EDGE_LOOP('',(#21357,#21358,#21359,#21360)); #3379=EDGE_LOOP('',(#21361,#21362,#21363,#21364)); #3380=EDGE_LOOP('',(#21365,#21366,#21367,#21368)); #3381=EDGE_LOOP('',(#21369,#21370,#21371,#21372)); #3382=EDGE_LOOP('',(#21373,#21374,#21375,#21376)); #3383=EDGE_LOOP('',(#21377,#21378,#21379,#21380)); #3384=EDGE_LOOP('',(#21381,#21382,#21383,#21384)); #3385=EDGE_LOOP('',(#21385,#21386,#21387,#21388)); #3386=EDGE_LOOP('',(#21389,#21390,#21391,#21392)); #3387=EDGE_LOOP('',(#21393,#21394,#21395,#21396)); #3388=EDGE_LOOP('',(#21397,#21398,#21399,#21400)); #3389=EDGE_LOOP('',(#21401,#21402,#21403,#21404)); #3390=EDGE_LOOP('',(#21405,#21406,#21407,#21408)); #3391=EDGE_LOOP('',(#21409,#21410,#21411,#21412)); #3392=EDGE_LOOP('',(#21413,#21414,#21415,#21416)); #3393=EDGE_LOOP('',(#21417,#21418,#21419,#21420)); #3394=EDGE_LOOP('',(#21421,#21422,#21423,#21424)); #3395=EDGE_LOOP('',(#21425,#21426,#21427,#21428)); #3396=EDGE_LOOP('',(#21429,#21430,#21431,#21432)); #3397=EDGE_LOOP('',(#21433,#21434,#21435,#21436)); #3398=EDGE_LOOP('',(#21437,#21438,#21439,#21440)); #3399=EDGE_LOOP('',(#21441,#21442,#21443,#21444,#21445,#21446,#21447,#21448, #21449,#21450,#21451,#21452,#21453,#21454,#21455,#21456,#21457,#21458,#21459, #21460,#21461,#21462,#21463,#21464,#21465,#21466,#21467,#21468,#21469,#21470, #21471,#21472,#21473,#21474,#21475,#21476,#21477,#21478,#21479,#21480,#21481, #21482)); #3400=EDGE_LOOP('',(#21483,#21484,#21485,#21486)); #3401=EDGE_LOOP('',(#21487,#21488,#21489,#21490)); #3402=EDGE_LOOP('',(#21491,#21492,#21493,#21494)); #3403=EDGE_LOOP('',(#21495,#21496,#21497,#21498)); #3404=EDGE_LOOP('',(#21499,#21500,#21501,#21502)); #3405=EDGE_LOOP('',(#21503,#21504,#21505,#21506)); #3406=EDGE_LOOP('',(#21507,#21508,#21509,#21510)); #3407=EDGE_LOOP('',(#21511,#21512,#21513,#21514)); #3408=EDGE_LOOP('',(#21515,#21516,#21517,#21518)); #3409=EDGE_LOOP('',(#21519,#21520,#21521,#21522)); #3410=EDGE_LOOP('',(#21523,#21524,#21525,#21526)); #3411=EDGE_LOOP('',(#21527,#21528,#21529,#21530)); #3412=EDGE_LOOP('',(#21531,#21532,#21533,#21534)); #3413=EDGE_LOOP('',(#21535,#21536,#21537,#21538)); #3414=EDGE_LOOP('',(#21539,#21540,#21541,#21542)); #3415=EDGE_LOOP('',(#21543,#21544,#21545,#21546)); #3416=EDGE_LOOP('',(#21547,#21548,#21549,#21550)); #3417=EDGE_LOOP('',(#21551,#21552,#21553,#21554)); #3418=EDGE_LOOP('',(#21555,#21556,#21557,#21558)); #3419=EDGE_LOOP('',(#21559,#21560,#21561,#21562)); #3420=EDGE_LOOP('',(#21563,#21564,#21565,#21566,#21567,#21568,#21569,#21570, #21571,#21572,#21573)); #3421=EDGE_LOOP('',(#21574,#21575,#21576,#21577,#21578,#21579,#21580,#21581, #21582)); #3422=EDGE_LOOP('',(#21583,#21584,#21585,#21586)); #3423=EDGE_LOOP('',(#21587,#21588,#21589,#21590)); #3424=EDGE_LOOP('',(#21591,#21592,#21593,#21594)); #3425=EDGE_LOOP('',(#21595,#21596,#21597,#21598)); #3426=EDGE_LOOP('',(#21599,#21600,#21601,#21602)); #3427=EDGE_LOOP('',(#21603,#21604,#21605,#21606)); #3428=EDGE_LOOP('',(#21607,#21608,#21609,#21610)); #3429=EDGE_LOOP('',(#21611,#21612,#21613,#21614)); #3430=EDGE_LOOP('',(#21615,#21616,#21617,#21618)); #3431=EDGE_LOOP('',(#21619,#21620,#21621,#21622)); #3432=EDGE_LOOP('',(#21623,#21624,#21625,#21626)); #3433=EDGE_LOOP('',(#21627,#21628,#21629,#21630)); #3434=EDGE_LOOP('',(#21631,#21632,#21633,#21634)); #3435=EDGE_LOOP('',(#21635,#21636,#21637,#21638)); #3436=EDGE_LOOP('',(#21639,#21640,#21641,#21642)); #3437=EDGE_LOOP('',(#21643,#21644,#21645,#21646)); #3438=EDGE_LOOP('',(#21647,#21648,#21649,#21650)); #3439=EDGE_LOOP('',(#21651,#21652,#21653,#21654)); #3440=EDGE_LOOP('',(#21655,#21656,#21657,#21658)); #3441=EDGE_LOOP('',(#21659,#21660,#21661,#21662)); #3442=EDGE_LOOP('',(#21663,#21664,#21665,#21666)); #3443=EDGE_LOOP('',(#21667,#21668,#21669,#21670)); #3444=EDGE_LOOP('',(#21671,#21672,#21673,#21674)); #3445=EDGE_LOOP('',(#21675,#21676,#21677,#21678)); #3446=EDGE_LOOP('',(#21679,#21680,#21681,#21682)); #3447=EDGE_LOOP('',(#21683,#21684,#21685,#21686)); #3448=EDGE_LOOP('',(#21687,#21688,#21689,#21690)); #3449=EDGE_LOOP('',(#21691,#21692,#21693,#21694)); #3450=EDGE_LOOP('',(#21695,#21696,#21697,#21698)); #3451=EDGE_LOOP('',(#21699,#21700,#21701,#21702)); #3452=EDGE_LOOP('',(#21703,#21704,#21705,#21706)); #3453=EDGE_LOOP('',(#21707,#21708,#21709,#21710)); #3454=EDGE_LOOP('',(#21711,#21712,#21713,#21714)); #3455=EDGE_LOOP('',(#21715,#21716,#21717,#21718)); #3456=EDGE_LOOP('',(#21719,#21720,#21721,#21722)); #3457=EDGE_LOOP('',(#21723,#21724,#21725,#21726)); #3458=EDGE_LOOP('',(#21727,#21728,#21729,#21730)); #3459=EDGE_LOOP('',(#21731,#21732,#21733,#21734)); #3460=EDGE_LOOP('',(#21735,#21736,#21737,#21738)); #3461=EDGE_LOOP('',(#21739,#21740,#21741,#21742)); #3462=EDGE_LOOP('',(#21743,#21744,#21745,#21746)); #3463=EDGE_LOOP('',(#21747,#21748,#21749,#21750)); #3464=EDGE_LOOP('',(#21751,#21752,#21753,#21754)); #3465=EDGE_LOOP('',(#21755,#21756,#21757,#21758)); #3466=EDGE_LOOP('',(#21759,#21760,#21761,#21762)); #3467=EDGE_LOOP('',(#21763,#21764,#21765,#21766)); #3468=EDGE_LOOP('',(#21767,#21768,#21769,#21770,#21771,#21772,#21773,#21774, #21775,#21776,#21777,#21778,#21779,#21780,#21781,#21782,#21783,#21784,#21785, #21786,#21787,#21788,#21789,#21790,#21791,#21792,#21793,#21794,#21795,#21796, #21797,#21798,#21799,#21800,#21801,#21802,#21803,#21804,#21805,#21806,#21807, #21808,#21809,#21810,#21811,#21812)); #3469=EDGE_LOOP('',(#21813,#21814,#21815,#21816)); #3470=EDGE_LOOP('',(#21817,#21818,#21819,#21820)); #3471=EDGE_LOOP('',(#21821,#21822,#21823,#21824)); #3472=EDGE_LOOP('',(#21825,#21826,#21827,#21828)); #3473=EDGE_LOOP('',(#21829,#21830,#21831,#21832)); #3474=EDGE_LOOP('',(#21833,#21834,#21835,#21836)); #3475=EDGE_LOOP('',(#21837,#21838,#21839,#21840)); #3476=EDGE_LOOP('',(#21841,#21842,#21843,#21844)); #3477=EDGE_LOOP('',(#21845,#21846,#21847,#21848)); #3478=EDGE_LOOP('',(#21849,#21850,#21851,#21852)); #3479=EDGE_LOOP('',(#21853,#21854,#21855,#21856)); #3480=EDGE_LOOP('',(#21857,#21858,#21859,#21860)); #3481=EDGE_LOOP('',(#21861,#21862,#21863,#21864)); #3482=EDGE_LOOP('',(#21865,#21866,#21867,#21868)); #3483=EDGE_LOOP('',(#21869,#21870,#21871,#21872)); #3484=EDGE_LOOP('',(#21873,#21874,#21875,#21876)); #3485=EDGE_LOOP('',(#21877,#21878,#21879,#21880)); #3486=EDGE_LOOP('',(#21881,#21882,#21883,#21884)); #3487=EDGE_LOOP('',(#21885,#21886,#21887,#21888)); #3488=EDGE_LOOP('',(#21889,#21890,#21891,#21892)); #3489=EDGE_LOOP('',(#21893,#21894,#21895,#21896)); #3490=EDGE_LOOP('',(#21897,#21898,#21899,#21900)); #3491=EDGE_LOOP('',(#21901,#21902,#21903,#21904)); #3492=EDGE_LOOP('',(#21905,#21906,#21907,#21908)); #3493=EDGE_LOOP('',(#21909,#21910,#21911,#21912,#21913,#21914,#21915,#21916, #21917,#21918,#21919,#21920,#21921,#21922,#21923,#21924,#21925,#21926,#21927, #21928,#21929,#21930,#21931,#21932)); #3494=EDGE_LOOP('',(#21933,#21934,#21935,#21936)); #3495=EDGE_LOOP('',(#21937,#21938,#21939,#21940)); #3496=EDGE_LOOP('',(#21941,#21942,#21943,#21944)); #3497=EDGE_LOOP('',(#21945,#21946,#21947,#21948)); #3498=EDGE_LOOP('',(#21949,#21950,#21951,#21952)); #3499=EDGE_LOOP('',(#21953,#21954,#21955,#21956)); #3500=EDGE_LOOP('',(#21957,#21958,#21959,#21960)); #3501=EDGE_LOOP('',(#21961,#21962,#21963,#21964)); #3502=EDGE_LOOP('',(#21965,#21966,#21967,#21968)); #3503=EDGE_LOOP('',(#21969,#21970,#21971,#21972)); #3504=EDGE_LOOP('',(#21973,#21974,#21975,#21976)); #3505=EDGE_LOOP('',(#21977,#21978,#21979,#21980)); #3506=EDGE_LOOP('',(#21981,#21982,#21983,#21984)); #3507=EDGE_LOOP('',(#21985,#21986,#21987,#21988)); #3508=EDGE_LOOP('',(#21989,#21990,#21991,#21992)); #3509=EDGE_LOOP('',(#21993,#21994,#21995,#21996)); #3510=EDGE_LOOP('',(#21997,#21998,#21999,#22000)); #3511=EDGE_LOOP('',(#22001,#22002,#22003,#22004)); #3512=EDGE_LOOP('',(#22005,#22006,#22007,#22008)); #3513=EDGE_LOOP('',(#22009,#22010,#22011,#22012)); #3514=EDGE_LOOP('',(#22013,#22014,#22015,#22016)); #3515=EDGE_LOOP('',(#22017,#22018,#22019,#22020)); #3516=EDGE_LOOP('',(#22021,#22022,#22023,#22024)); #3517=EDGE_LOOP('',(#22025,#22026,#22027,#22028)); #3518=EDGE_LOOP('',(#22029,#22030,#22031,#22032)); #3519=EDGE_LOOP('',(#22033,#22034,#22035,#22036)); #3520=EDGE_LOOP('',(#22037,#22038,#22039,#22040)); #3521=EDGE_LOOP('',(#22041,#22042,#22043,#22044)); #3522=EDGE_LOOP('',(#22045,#22046,#22047,#22048)); #3523=EDGE_LOOP('',(#22049,#22050,#22051,#22052)); #3524=EDGE_LOOP('',(#22053,#22054,#22055,#22056)); #3525=EDGE_LOOP('',(#22057,#22058,#22059,#22060)); #3526=EDGE_LOOP('',(#22061,#22062,#22063,#22064)); #3527=EDGE_LOOP('',(#22065,#22066,#22067,#22068)); #3528=EDGE_LOOP('',(#22069,#22070,#22071,#22072)); #3529=EDGE_LOOP('',(#22073,#22074,#22075,#22076)); #3530=EDGE_LOOP('',(#22077,#22078,#22079,#22080)); #3531=EDGE_LOOP('',(#22081,#22082,#22083,#22084)); #3532=EDGE_LOOP('',(#22085,#22086,#22087,#22088)); #3533=EDGE_LOOP('',(#22089,#22090,#22091,#22092)); #3534=EDGE_LOOP('',(#22093,#22094,#22095,#22096,#22097,#22098,#22099,#22100, #22101,#22102,#22103,#22104,#22105,#22106,#22107,#22108,#22109,#22110,#22111, #22112,#22113,#22114,#22115,#22116,#22117,#22118,#22119,#22120,#22121,#22122, #22123)); #3535=EDGE_LOOP('',(#22124,#22125,#22126,#22127,#22128,#22129,#22130,#22131, #22132)); #3536=EDGE_LOOP('',(#22133,#22134,#22135,#22136)); #3537=EDGE_LOOP('',(#22137,#22138,#22139,#22140)); #3538=EDGE_LOOP('',(#22141,#22142,#22143,#22144)); #3539=EDGE_LOOP('',(#22145,#22146,#22147,#22148)); #3540=EDGE_LOOP('',(#22149,#22150,#22151,#22152)); #3541=EDGE_LOOP('',(#22153,#22154,#22155,#22156)); #3542=EDGE_LOOP('',(#22157,#22158,#22159,#22160)); #3543=EDGE_LOOP('',(#22161,#22162,#22163,#22164)); #3544=EDGE_LOOP('',(#22165,#22166,#22167,#22168)); #3545=EDGE_LOOP('',(#22169,#22170,#22171,#22172)); #3546=EDGE_LOOP('',(#22173,#22174,#22175,#22176)); #3547=EDGE_LOOP('',(#22177,#22178,#22179,#22180)); #3548=EDGE_LOOP('',(#22181,#22182,#22183,#22184)); #3549=EDGE_LOOP('',(#22185,#22186,#22187,#22188)); #3550=EDGE_LOOP('',(#22189,#22190,#22191,#22192)); #3551=EDGE_LOOP('',(#22193,#22194,#22195,#22196)); #3552=EDGE_LOOP('',(#22197,#22198,#22199,#22200)); #3553=EDGE_LOOP('',(#22201,#22202,#22203,#22204)); #3554=EDGE_LOOP('',(#22205,#22206,#22207,#22208)); #3555=EDGE_LOOP('',(#22209,#22210,#22211,#22212)); #3556=EDGE_LOOP('',(#22213,#22214,#22215,#22216)); #3557=EDGE_LOOP('',(#22217,#22218,#22219,#22220)); #3558=EDGE_LOOP('',(#22221,#22222,#22223,#22224)); #3559=EDGE_LOOP('',(#22225,#22226,#22227,#22228)); #3560=EDGE_LOOP('',(#22229,#22230,#22231,#22232)); #3561=EDGE_LOOP('',(#22233,#22234,#22235,#22236)); #3562=EDGE_LOOP('',(#22237,#22238,#22239,#22240)); #3563=EDGE_LOOP('',(#22241,#22242,#22243,#22244)); #3564=EDGE_LOOP('',(#22245,#22246,#22247,#22248)); #3565=EDGE_LOOP('',(#22249,#22250,#22251,#22252)); #3566=EDGE_LOOP('',(#22253,#22254,#22255,#22256)); #3567=EDGE_LOOP('',(#22257,#22258,#22259,#22260)); #3568=EDGE_LOOP('',(#22261,#22262,#22263,#22264)); #3569=EDGE_LOOP('',(#22265,#22266,#22267,#22268)); #3570=EDGE_LOOP('',(#22269,#22270,#22271,#22272)); #3571=EDGE_LOOP('',(#22273,#22274,#22275,#22276)); #3572=EDGE_LOOP('',(#22277,#22278,#22279,#22280)); #3573=EDGE_LOOP('',(#22281,#22282,#22283,#22284,#22285,#22286,#22287,#22288, #22289,#22290,#22291,#22292,#22293,#22294,#22295,#22296,#22297,#22298,#22299, #22300,#22301,#22302,#22303,#22304,#22305,#22306,#22307,#22308,#22309,#22310, #22311,#22312,#22313,#22314,#22315,#22316,#22317)); #3574=EDGE_LOOP('',(#22318,#22319,#22320,#22321)); #3575=EDGE_LOOP('',(#22322,#22323,#22324,#22325)); #3576=EDGE_LOOP('',(#22326,#22327,#22328,#22329)); #3577=EDGE_LOOP('',(#22330,#22331,#22332,#22333)); #3578=EDGE_LOOP('',(#22334,#22335,#22336,#22337)); #3579=EDGE_LOOP('',(#22338,#22339,#22340,#22341)); #3580=EDGE_LOOP('',(#22342,#22343,#22344,#22345)); #3581=EDGE_LOOP('',(#22346,#22347,#22348,#22349)); #3582=EDGE_LOOP('',(#22350,#22351,#22352,#22353)); #3583=EDGE_LOOP('',(#22354,#22355,#22356,#22357)); #3584=EDGE_LOOP('',(#22358,#22359,#22360,#22361)); #3585=EDGE_LOOP('',(#22362,#22363,#22364,#22365)); #3586=EDGE_LOOP('',(#22366,#22367,#22368,#22369)); #3587=EDGE_LOOP('',(#22370,#22371,#22372,#22373)); #3588=EDGE_LOOP('',(#22374,#22375,#22376,#22377)); #3589=EDGE_LOOP('',(#22378,#22379,#22380,#22381)); #3590=EDGE_LOOP('',(#22382,#22383,#22384,#22385)); #3591=EDGE_LOOP('',(#22386,#22387,#22388,#22389)); #3592=EDGE_LOOP('',(#22390,#22391,#22392,#22393)); #3593=EDGE_LOOP('',(#22394,#22395,#22396,#22397)); #3594=EDGE_LOOP('',(#22398,#22399,#22400,#22401)); #3595=EDGE_LOOP('',(#22402,#22403,#22404,#22405)); #3596=EDGE_LOOP('',(#22406,#22407,#22408,#22409)); #3597=EDGE_LOOP('',(#22410,#22411,#22412,#22413)); #3598=EDGE_LOOP('',(#22414,#22415,#22416,#22417)); #3599=EDGE_LOOP('',(#22418,#22419,#22420,#22421)); #3600=EDGE_LOOP('',(#22422,#22423,#22424,#22425)); #3601=EDGE_LOOP('',(#22426,#22427,#22428,#22429)); #3602=EDGE_LOOP('',(#22430,#22431,#22432,#22433)); #3603=EDGE_LOOP('',(#22434,#22435,#22436,#22437)); #3604=EDGE_LOOP('',(#22438,#22439,#22440,#22441)); #3605=EDGE_LOOP('',(#22442,#22443,#22444,#22445)); #3606=EDGE_LOOP('',(#22446,#22447,#22448,#22449)); #3607=EDGE_LOOP('',(#22450,#22451,#22452,#22453)); #3608=EDGE_LOOP('',(#22454,#22455,#22456,#22457)); #3609=EDGE_LOOP('',(#22458,#22459,#22460,#22461)); #3610=EDGE_LOOP('',(#22462,#22463,#22464,#22465)); #3611=EDGE_LOOP('',(#22466,#22467,#22468,#22469)); #3612=EDGE_LOOP('',(#22470,#22471,#22472,#22473)); #3613=EDGE_LOOP('',(#22474,#22475,#22476,#22477)); #3614=EDGE_LOOP('',(#22478,#22479,#22480,#22481)); #3615=EDGE_LOOP('',(#22482,#22483,#22484,#22485)); #3616=EDGE_LOOP('',(#22486,#22487,#22488,#22489)); #3617=EDGE_LOOP('',(#22490,#22491,#22492,#22493)); #3618=EDGE_LOOP('',(#22494,#22495,#22496,#22497,#22498,#22499,#22500,#22501, #22502,#22503,#22504,#22505,#22506,#22507,#22508,#22509,#22510,#22511,#22512, #22513,#22514,#22515,#22516,#22517,#22518,#22519,#22520,#22521,#22522,#22523, #22524,#22525,#22526,#22527,#22528,#22529,#22530,#22531,#22532,#22533,#22534, #22535,#22536,#22537)); #3619=EDGE_LOOP('',(#22538,#22539,#22540,#22541)); #3620=EDGE_LOOP('',(#22542,#22543,#22544,#22545)); #3621=EDGE_LOOP('',(#22546,#22547,#22548,#22549)); #3622=EDGE_LOOP('',(#22550,#22551,#22552,#22553)); #3623=EDGE_LOOP('',(#22554,#22555,#22556,#22557)); #3624=EDGE_LOOP('',(#22558,#22559,#22560,#22561)); #3625=EDGE_LOOP('',(#22562,#22563,#22564,#22565)); #3626=EDGE_LOOP('',(#22566,#22567,#22568,#22569)); #3627=EDGE_LOOP('',(#22570,#22571,#22572,#22573)); #3628=EDGE_LOOP('',(#22574,#22575,#22576,#22577)); #3629=EDGE_LOOP('',(#22578,#22579,#22580,#22581)); #3630=EDGE_LOOP('',(#22582,#22583,#22584,#22585)); #3631=EDGE_LOOP('',(#22586,#22587,#22588,#22589)); #3632=EDGE_LOOP('',(#22590,#22591,#22592,#22593)); #3633=EDGE_LOOP('',(#22594,#22595,#22596,#22597)); #3634=EDGE_LOOP('',(#22598,#22599,#22600,#22601)); #3635=EDGE_LOOP('',(#22602,#22603,#22604,#22605)); #3636=EDGE_LOOP('',(#22606,#22607,#22608,#22609)); #3637=EDGE_LOOP('',(#22610,#22611,#22612,#22613)); #3638=EDGE_LOOP('',(#22614,#22615,#22616,#22617)); #3639=EDGE_LOOP('',(#22618,#22619,#22620,#22621)); #3640=EDGE_LOOP('',(#22622,#22623,#22624,#22625)); #3641=EDGE_LOOP('',(#22626,#22627,#22628,#22629)); #3642=EDGE_LOOP('',(#22630,#22631,#22632,#22633)); #3643=EDGE_LOOP('',(#22634,#22635,#22636,#22637)); #3644=EDGE_LOOP('',(#22638,#22639,#22640,#22641)); #3645=EDGE_LOOP('',(#22642,#22643,#22644,#22645)); #3646=EDGE_LOOP('',(#22646,#22647,#22648,#22649)); #3647=EDGE_LOOP('',(#22650,#22651,#22652,#22653)); #3648=EDGE_LOOP('',(#22654,#22655,#22656,#22657)); #3649=EDGE_LOOP('',(#22658,#22659,#22660,#22661)); #3650=EDGE_LOOP('',(#22662,#22663,#22664,#22665)); #3651=EDGE_LOOP('',(#22666,#22667,#22668,#22669)); #3652=EDGE_LOOP('',(#22670,#22671,#22672,#22673)); #3653=EDGE_LOOP('',(#22674,#22675,#22676,#22677)); #3654=EDGE_LOOP('',(#22678,#22679,#22680,#22681)); #3655=EDGE_LOOP('',(#22682,#22683,#22684,#22685)); #3656=EDGE_LOOP('',(#22686,#22687,#22688,#22689)); #3657=EDGE_LOOP('',(#22690,#22691,#22692,#22693)); #3658=EDGE_LOOP('',(#22694,#22695,#22696,#22697)); #3659=EDGE_LOOP('',(#22698,#22699,#22700,#22701)); #3660=EDGE_LOOP('',(#22702,#22703,#22704,#22705)); #3661=EDGE_LOOP('',(#22706,#22707,#22708,#22709)); #3662=EDGE_LOOP('',(#22710,#22711,#22712,#22713)); #3663=EDGE_LOOP('',(#22714,#22715,#22716,#22717)); #3664=EDGE_LOOP('',(#22718,#22719,#22720,#22721)); #3665=EDGE_LOOP('',(#22722,#22723,#22724,#22725)); #3666=EDGE_LOOP('',(#22726,#22727,#22728,#22729)); #3667=EDGE_LOOP('',(#22730,#22731,#22732,#22733)); #3668=EDGE_LOOP('',(#22734,#22735,#22736,#22737)); #3669=EDGE_LOOP('',(#22738,#22739,#22740,#22741)); #3670=EDGE_LOOP('',(#22742,#22743,#22744,#22745)); #3671=EDGE_LOOP('',(#22746,#22747,#22748,#22749)); #3672=EDGE_LOOP('',(#22750,#22751,#22752,#22753)); #3673=EDGE_LOOP('',(#22754,#22755,#22756,#22757,#22758,#22759,#22760,#22761, #22762,#22763,#22764,#22765,#22766,#22767,#22768,#22769,#22770,#22771,#22772, #22773,#22774,#22775,#22776,#22777,#22778,#22779,#22780,#22781,#22782,#22783, #22784,#22785,#22786,#22787,#22788,#22789,#22790,#22791,#22792,#22793,#22794, #22795,#22796,#22797,#22798,#22799,#22800,#22801,#22802,#22803,#22804,#22805, #22806,#22807)); #3674=EDGE_LOOP('',(#22808,#22809,#22810,#22811)); #3675=EDGE_LOOP('',(#22812,#22813,#22814,#22815)); #3676=EDGE_LOOP('',(#22816,#22817,#22818,#22819)); #3677=EDGE_LOOP('',(#22820,#22821,#22822,#22823)); #3678=EDGE_LOOP('',(#22824,#22825,#22826,#22827)); #3679=EDGE_LOOP('',(#22828,#22829,#22830,#22831)); #3680=EDGE_LOOP('',(#22832,#22833,#22834,#22835)); #3681=EDGE_LOOP('',(#22836,#22837,#22838,#22839)); #3682=EDGE_LOOP('',(#22840,#22841,#22842,#22843)); #3683=EDGE_LOOP('',(#22844,#22845,#22846,#22847)); #3684=EDGE_LOOP('',(#22848,#22849,#22850,#22851)); #3685=EDGE_LOOP('',(#22852,#22853,#22854,#22855,#22856,#22857,#22858,#22859, #22860,#22861,#22862)); #3686=EDGE_LOOP('',(#22863,#22864,#22865,#22866)); #3687=EDGE_LOOP('',(#22867,#22868,#22869,#22870)); #3688=EDGE_LOOP('',(#22871,#22872,#22873,#22874)); #3689=EDGE_LOOP('',(#22875,#22876,#22877,#22878)); #3690=EDGE_LOOP('',(#22879,#22880,#22881,#22882)); #3691=EDGE_LOOP('',(#22883,#22884,#22885,#22886)); #3692=EDGE_LOOP('',(#22887,#22888,#22889,#22890)); #3693=EDGE_LOOP('',(#22891,#22892,#22893,#22894)); #3694=EDGE_LOOP('',(#22895,#22896,#22897,#22898)); #3695=EDGE_LOOP('',(#22899,#22900,#22901,#22902)); #3696=EDGE_LOOP('',(#22903,#22904,#22905,#22906)); #3697=EDGE_LOOP('',(#22907,#22908,#22909,#22910)); #3698=EDGE_LOOP('',(#22911,#22912,#22913,#22914)); #3699=EDGE_LOOP('',(#22915,#22916,#22917,#22918)); #3700=EDGE_LOOP('',(#22919,#22920,#22921,#22922)); #3701=EDGE_LOOP('',(#22923,#22924,#22925,#22926)); #3702=EDGE_LOOP('',(#22927,#22928,#22929,#22930)); #3703=EDGE_LOOP('',(#22931,#22932,#22933,#22934)); #3704=EDGE_LOOP('',(#22935,#22936,#22937,#22938)); #3705=EDGE_LOOP('',(#22939,#22940,#22941,#22942)); #3706=EDGE_LOOP('',(#22943,#22944,#22945,#22946)); #3707=EDGE_LOOP('',(#22947,#22948,#22949,#22950)); #3708=EDGE_LOOP('',(#22951,#22952,#22953,#22954)); #3709=EDGE_LOOP('',(#22955,#22956,#22957,#22958)); #3710=EDGE_LOOP('',(#22959,#22960,#22961,#22962)); #3711=EDGE_LOOP('',(#22963,#22964,#22965,#22966)); #3712=EDGE_LOOP('',(#22967,#22968,#22969,#22970)); #3713=EDGE_LOOP('',(#22971,#22972,#22973,#22974)); #3714=EDGE_LOOP('',(#22975,#22976,#22977,#22978)); #3715=EDGE_LOOP('',(#22979,#22980,#22981,#22982)); #3716=EDGE_LOOP('',(#22983,#22984,#22985,#22986)); #3717=EDGE_LOOP('',(#22987,#22988,#22989,#22990)); #3718=EDGE_LOOP('',(#22991,#22992,#22993,#22994)); #3719=EDGE_LOOP('',(#22995,#22996,#22997,#22998)); #3720=EDGE_LOOP('',(#22999,#23000,#23001,#23002)); #3721=EDGE_LOOP('',(#23003,#23004,#23005,#23006)); #3722=EDGE_LOOP('',(#23007,#23008,#23009,#23010)); #3723=EDGE_LOOP('',(#23011,#23012,#23013,#23014)); #3724=EDGE_LOOP('',(#23015,#23016,#23017,#23018)); #3725=EDGE_LOOP('',(#23019,#23020,#23021,#23022)); #3726=EDGE_LOOP('',(#23023,#23024,#23025,#23026)); #3727=EDGE_LOOP('',(#23027,#23028,#23029,#23030)); #3728=EDGE_LOOP('',(#23031,#23032,#23033,#23034)); #3729=EDGE_LOOP('',(#23035,#23036,#23037,#23038)); #3730=EDGE_LOOP('',(#23039,#23040,#23041,#23042)); #3731=EDGE_LOOP('',(#23043,#23044,#23045,#23046)); #3732=EDGE_LOOP('',(#23047,#23048,#23049,#23050)); #3733=EDGE_LOOP('',(#23051,#23052,#23053,#23054,#23055,#23056,#23057,#23058, #23059,#23060,#23061,#23062,#23063,#23064,#23065,#23066,#23067,#23068,#23069, #23070,#23071,#23072,#23073,#23074,#23075,#23076,#23077,#23078,#23079,#23080, #23081,#23082,#23083,#23084,#23085,#23086,#23087)); #3734=EDGE_LOOP('',(#23088,#23089,#23090,#23091,#23092,#23093,#23094,#23095, #23096,#23097)); #3735=EDGE_LOOP('',(#23098,#23099,#23100,#23101)); #3736=EDGE_LOOP('',(#23102,#23103,#23104,#23105)); #3737=EDGE_LOOP('',(#23106,#23107,#23108,#23109)); #3738=EDGE_LOOP('',(#23110,#23111,#23112,#23113)); #3739=EDGE_LOOP('',(#23114,#23115,#23116,#23117)); #3740=EDGE_LOOP('',(#23118,#23119,#23120,#23121)); #3741=EDGE_LOOP('',(#23122,#23123,#23124,#23125)); #3742=EDGE_LOOP('',(#23126,#23127,#23128,#23129)); #3743=EDGE_LOOP('',(#23130,#23131,#23132,#23133)); #3744=EDGE_LOOP('',(#23134,#23135,#23136,#23137)); #3745=EDGE_LOOP('',(#23138,#23139,#23140,#23141)); #3746=EDGE_LOOP('',(#23142,#23143,#23144,#23145)); #3747=EDGE_LOOP('',(#23146,#23147,#23148,#23149)); #3748=EDGE_LOOP('',(#23150,#23151,#23152,#23153)); #3749=EDGE_LOOP('',(#23154,#23155,#23156,#23157)); #3750=EDGE_LOOP('',(#23158,#23159,#23160,#23161)); #3751=EDGE_LOOP('',(#23162,#23163,#23164,#23165)); #3752=EDGE_LOOP('',(#23166,#23167,#23168,#23169)); #3753=EDGE_LOOP('',(#23170,#23171,#23172,#23173)); #3754=EDGE_LOOP('',(#23174,#23175,#23176,#23177)); #3755=EDGE_LOOP('',(#23178,#23179,#23180,#23181)); #3756=EDGE_LOOP('',(#23182,#23183,#23184,#23185)); #3757=EDGE_LOOP('',(#23186,#23187,#23188,#23189)); #3758=EDGE_LOOP('',(#23190,#23191,#23192,#23193)); #3759=EDGE_LOOP('',(#23194,#23195,#23196,#23197)); #3760=EDGE_LOOP('',(#23198,#23199,#23200,#23201)); #3761=EDGE_LOOP('',(#23202,#23203,#23204,#23205)); #3762=EDGE_LOOP('',(#23206,#23207,#23208,#23209)); #3763=EDGE_LOOP('',(#23210,#23211,#23212,#23213)); #3764=EDGE_LOOP('',(#23214,#23215,#23216,#23217)); #3765=EDGE_LOOP('',(#23218,#23219,#23220,#23221)); #3766=EDGE_LOOP('',(#23222,#23223,#23224,#23225)); #3767=EDGE_LOOP('',(#23226,#23227,#23228,#23229)); #3768=EDGE_LOOP('',(#23230,#23231,#23232,#23233)); #3769=EDGE_LOOP('',(#23234,#23235,#23236,#23237)); #3770=EDGE_LOOP('',(#23238,#23239,#23240,#23241)); #3771=EDGE_LOOP('',(#23242,#23243,#23244,#23245)); #3772=EDGE_LOOP('',(#23246,#23247,#23248,#23249)); #3773=EDGE_LOOP('',(#23250,#23251,#23252,#23253)); #3774=EDGE_LOOP('',(#23254,#23255,#23256,#23257)); #3775=EDGE_LOOP('',(#23258,#23259,#23260,#23261)); #3776=EDGE_LOOP('',(#23262,#23263,#23264,#23265)); #3777=EDGE_LOOP('',(#23266,#23267,#23268,#23269)); #3778=EDGE_LOOP('',(#23270,#23271,#23272,#23273,#23274,#23275,#23276,#23277, #23278,#23279,#23280,#23281,#23282,#23283,#23284,#23285,#23286,#23287,#23288, #23289,#23290,#23291,#23292,#23293,#23294,#23295,#23296,#23297,#23298,#23299, #23300,#23301,#23302,#23303,#23304,#23305,#23306,#23307,#23308,#23309,#23310, #23311,#23312)); #3779=EDGE_LOOP('',(#23313,#23314,#23315,#23316,#23317,#23318,#23319,#23320, #23321,#23322,#23323)); #3780=EDGE_LOOP('',(#23324,#23325,#23326,#23327,#23328,#23329,#23330,#23331, #23332,#23333)); #3781=EDGE_LOOP('',(#23334,#23335,#23336,#23337,#23338,#23339,#23340,#23341, #23342,#23343,#23344,#23345)); #3782=EDGE_LOOP('',(#23346,#23347,#23348,#23349,#23350,#23351,#23352,#23353, #23354,#23355,#23356)); #3783=EDGE_LOOP('',(#23357,#23358,#23359,#23360,#23361,#23362,#23363,#23364, #23365,#23366,#23367,#23368,#23369,#23370,#23371,#23372,#23373,#23374,#23375, #23376,#23377,#23378,#23379,#23380,#23381,#23382,#23383,#23384,#23385,#23386, #23387)); #3784=EDGE_LOOP('',(#23388,#23389,#23390,#23391,#23392,#23393,#23394,#23395, #23396,#23397,#23398,#23399,#23400,#23401,#23402,#23403,#23404,#23405,#23406, #23407,#23408,#23409,#23410,#23411,#23412,#23413,#23414,#23415,#23416,#23417, #23418,#23419,#23420)); #3785=EDGE_LOOP('',(#23421,#23422,#23423,#23424,#23425,#23426,#23427,#23428, #23429,#23430,#23431,#23432,#23433,#23434,#23435,#23436,#23437,#23438,#23439, #23440,#23441,#23442,#23443,#23444,#23445,#23446,#23447,#23448,#23449,#23450, #23451,#23452,#23453,#23454,#23455,#23456,#23457,#23458,#23459,#23460,#23461, #23462,#23463,#23464,#23465,#23466,#23467,#23468,#23469,#23470,#23471,#23472, #23473,#23474,#23475,#23476,#23477,#23478,#23479,#23480,#23481,#23482,#23483, #23484,#23485,#23486,#23487,#23488,#23489,#23490,#23491,#23492,#23493,#23494, #23495,#23496,#23497,#23498,#23499,#23500,#23501,#23502,#23503,#23504)); #3786=EDGE_LOOP('',(#23505,#23506,#23507,#23508,#23509,#23510,#23511,#23512, #23513,#23514,#23515,#23516,#23517,#23518,#23519,#23520,#23521,#23522,#23523, #23524,#23525,#23526,#23527,#23528,#23529,#23530,#23531,#23532,#23533,#23534, #23535,#23536,#23537,#23538,#23539,#23540,#23541,#23542,#23543,#23544,#23545, #23546,#23547,#23548,#23549,#23550,#23551,#23552,#23553,#23554,#23555,#23556, #23557,#23558)); #3787=EDGE_LOOP('',(#23559,#23560,#23561,#23562,#23563,#23564,#23565,#23566, #23567,#23568,#23569,#23570,#23571,#23572,#23573,#23574,#23575,#23576,#23577, #23578,#23579,#23580,#23581,#23582,#23583,#23584,#23585,#23586,#23587,#23588, #23589,#23590,#23591,#23592,#23593,#23594,#23595,#23596,#23597,#23598,#23599, #23600,#23601,#23602)); #3788=EDGE_LOOP('',(#23603,#23604,#23605,#23606,#23607,#23608,#23609,#23610, #23611,#23612,#23613,#23614,#23615,#23616,#23617,#23618,#23619,#23620,#23621, #23622,#23623,#23624,#23625,#23626,#23627,#23628,#23629,#23630,#23631,#23632, #23633,#23634,#23635,#23636,#23637,#23638,#23639,#23640,#23641,#23642,#23643, #23644,#23645,#23646,#23647,#23648,#23649,#23650,#23651,#23652,#23653,#23654, #23655,#23656)); #3789=EDGE_LOOP('',(#23657,#23658,#23659,#23660,#23661,#23662,#23663,#23664, #23665,#23666,#23667,#23668,#23669,#23670,#23671,#23672,#23673,#23674,#23675, #23676,#23677,#23678,#23679,#23680,#23681,#23682,#23683,#23684,#23685,#23686, #23687,#23688,#23689,#23690,#23691,#23692,#23693,#23694,#23695,#23696,#23697, #23698)); #3790=EDGE_LOOP('',(#23699,#23700,#23701,#23702,#23703,#23704,#23705,#23706, #23707,#23708,#23709)); #3791=EDGE_LOOP('',(#23710,#23711,#23712,#23713,#23714,#23715,#23716,#23717, #23718,#23719,#23720,#23721,#23722,#23723,#23724,#23725,#23726,#23727,#23728, #23729,#23730,#23731,#23732,#23733,#23734,#23735,#23736,#23737,#23738,#23739, #23740,#23741,#23742,#23743,#23744,#23745,#23746,#23747,#23748,#23749,#23750, #23751,#23752,#23753,#23754,#23755)); #3792=EDGE_LOOP('',(#23756,#23757,#23758,#23759,#23760,#23761,#23762,#23763, #23764,#23765,#23766,#23767,#23768,#23769,#23770,#23771,#23772,#23773,#23774, #23775,#23776,#23777,#23778,#23779)); #3793=EDGE_LOOP('',(#23780,#23781,#23782,#23783,#23784,#23785,#23786,#23787, #23788,#23789,#23790,#23791,#23792,#23793,#23794,#23795,#23796,#23797,#23798, #23799,#23800,#23801,#23802,#23803,#23804,#23805,#23806,#23807,#23808,#23809, #23810)); #3794=EDGE_LOOP('',(#23811,#23812,#23813,#23814,#23815,#23816,#23817,#23818, #23819,#23820,#23821,#23822,#23823,#23824,#23825,#23826,#23827,#23828,#23829, #23830,#23831,#23832,#23833,#23834,#23835,#23836,#23837,#23838,#23839,#23840, #23841,#23842,#23843,#23844,#23845,#23846,#23847)); #3795=EDGE_LOOP('',(#23848,#23849,#23850,#23851,#23852,#23853,#23854,#23855, #23856,#23857,#23858,#23859,#23860,#23861,#23862,#23863,#23864,#23865,#23866, #23867,#23868,#23869,#23870,#23871,#23872,#23873,#23874,#23875,#23876,#23877, #23878,#23879,#23880,#23881,#23882,#23883,#23884,#23885,#23886,#23887,#23888, #23889,#23890,#23891)); #3796=EDGE_LOOP('',(#23892,#23893,#23894,#23895,#23896,#23897,#23898,#23899, #23900,#23901,#23902,#23903,#23904,#23905,#23906,#23907,#23908,#23909,#23910, #23911,#23912,#23913,#23914,#23915,#23916,#23917,#23918,#23919,#23920,#23921, #23922,#23923,#23924,#23925,#23926,#23927,#23928,#23929,#23930,#23931,#23932, #23933,#23934,#23935,#23936,#23937,#23938,#23939,#23940,#23941,#23942,#23943, #23944,#23945)); #3797=EDGE_LOOP('',(#23946,#23947,#23948,#23949,#23950,#23951,#23952,#23953, #23954,#23955,#23956)); #3798=EDGE_LOOP('',(#23957,#23958,#23959,#23960,#23961,#23962,#23963,#23964, #23965,#23966,#23967,#23968,#23969,#23970,#23971,#23972,#23973,#23974,#23975, #23976,#23977,#23978,#23979,#23980,#23981,#23982,#23983,#23984,#23985,#23986, #23987,#23988,#23989,#23990,#23991,#23992,#23993)); #3799=EDGE_LOOP('',(#23994,#23995,#23996,#23997,#23998,#23999,#24000,#24001, #24002,#24003,#24004,#24005,#24006,#24007,#24008,#24009,#24010,#24011,#24012, #24013,#24014,#24015,#24016,#24017,#24018,#24019,#24020,#24021,#24022,#24023, #24024,#24025,#24026,#24027,#24028,#24029,#24030,#24031,#24032,#24033,#24034, #24035,#24036)); #3800=EDGE_LOOP('',(#24037)); #3801=EDGE_LOOP('',(#24038)); #3802=EDGE_LOOP('',(#24039)); #3803=EDGE_LOOP('',(#24040)); #3804=EDGE_LOOP('',(#24041)); #3805=EDGE_LOOP('',(#24042)); #3806=EDGE_LOOP('',(#24043)); #3807=EDGE_LOOP('',(#24044)); #3808=EDGE_LOOP('',(#24045)); #3809=EDGE_LOOP('',(#24046)); #3810=EDGE_LOOP('',(#24047)); #3811=EDGE_LOOP('',(#24048)); #3812=EDGE_LOOP('',(#24049)); #3813=EDGE_LOOP('',(#24050)); #3814=EDGE_LOOP('',(#24051,#24052,#24053,#24054,#24055,#24056,#24057,#24058, #24059)); #3815=EDGE_LOOP('',(#24060,#24061,#24062,#24063,#24064,#24065,#24066,#24067, #24068)); #3816=EDGE_LOOP('',(#24069,#24070,#24071,#24072)); #3817=EDGE_LOOP('',(#24073,#24074,#24075,#24076)); #3818=EDGE_LOOP('',(#24077,#24078,#24079,#24080)); #3819=EDGE_LOOP('',(#24081,#24082,#24083,#24084)); #3820=EDGE_LOOP('',(#24085,#24086,#24087,#24088)); #3821=EDGE_LOOP('',(#24089,#24090,#24091,#24092)); #3822=EDGE_LOOP('',(#24093,#24094,#24095,#24096)); #3823=EDGE_LOOP('',(#24097,#24098,#24099,#24100)); #3824=EDGE_LOOP('',(#24101,#24102,#24103,#24104)); #3825=EDGE_LOOP('',(#24105,#24106,#24107,#24108)); #3826=EDGE_LOOP('',(#24109,#24110,#24111,#24112)); #3827=EDGE_LOOP('',(#24113,#24114,#24115,#24116)); #3828=EDGE_LOOP('',(#24117,#24118,#24119,#24120)); #3829=EDGE_LOOP('',(#24121,#24122,#24123,#24124)); #3830=EDGE_LOOP('',(#24125,#24126,#24127,#24128)); #3831=EDGE_LOOP('',(#24129,#24130,#24131,#24132)); #3832=EDGE_LOOP('',(#24133,#24134,#24135,#24136)); #3833=EDGE_LOOP('',(#24137,#24138,#24139,#24140)); #3834=EDGE_LOOP('',(#24141,#24142,#24143,#24144)); #3835=EDGE_LOOP('',(#24145,#24146,#24147,#24148)); #3836=EDGE_LOOP('',(#24149,#24150,#24151,#24152)); #3837=EDGE_LOOP('',(#24153,#24154,#24155,#24156)); #3838=EDGE_LOOP('',(#24157,#24158,#24159,#24160)); #3839=EDGE_LOOP('',(#24161,#24162,#24163,#24164,#24165,#24166,#24167,#24168)); #3840=EDGE_LOOP('',(#24169,#24170,#24171,#24172)); #3841=EDGE_LOOP('',(#24173,#24174,#24175,#24176,#24177,#24178,#24179,#24180)); #3842=EDGE_LOOP('',(#24181,#24182,#24183,#24184)); #3843=EDGE_LOOP('',(#24185,#24186,#24187,#24188)); #3844=EDGE_LOOP('',(#24189,#24190,#24191,#24192)); #3845=EDGE_LOOP('',(#24193,#24194,#24195,#24196)); #3846=EDGE_LOOP('',(#24197,#24198,#24199,#24200)); #3847=EDGE_LOOP('',(#24201,#24202,#24203,#24204)); #3848=EDGE_LOOP('',(#24205,#24206,#24207,#24208,#24209,#24210,#24211,#24212)); #3849=EDGE_LOOP('',(#24213,#24214,#24215,#24216)); #3850=EDGE_LOOP('',(#24217,#24218,#24219,#24220,#24221,#24222,#24223,#24224)); #3851=EDGE_LOOP('',(#24225,#24226,#24227,#24228)); #3852=EDGE_LOOP('',(#24229,#24230,#24231,#24232)); #3853=EDGE_LOOP('',(#24233,#24234,#24235,#24236)); #3854=EDGE_LOOP('',(#24237,#24238,#24239,#24240)); #3855=EDGE_LOOP('',(#24241,#24242,#24243,#24244)); #3856=EDGE_LOOP('',(#24245,#24246,#24247,#24248)); #3857=EDGE_LOOP('',(#24249,#24250,#24251,#24252,#24253,#24254,#24255,#24256, #24257,#24258,#24259,#24260,#24261,#24262,#24263,#24264,#24265,#24266,#24267, #24268,#24269,#24270,#24271,#24272,#24273,#24274)); #3858=EDGE_LOOP('',(#24275,#24276,#24277,#24278)); #3859=EDGE_LOOP('',(#24279,#24280,#24281,#24282,#24283,#24284,#24285,#24286, #24287,#24288,#24289,#24290,#24291,#24292,#24293,#24294,#24295,#24296,#24297, #24298,#24299,#24300,#24301,#24302,#24303,#24304)); #3860=EDGE_LOOP('',(#24305,#24306,#24307,#24308)); #3861=EDGE_LOOP('',(#24309,#24310,#24311,#24312)); #3862=EDGE_LOOP('',(#24313,#24314,#24315,#24316)); #3863=EDGE_LOOP('',(#24317,#24318,#24319,#24320)); #3864=EDGE_LOOP('',(#24321,#24322,#24323,#24324)); #3865=EDGE_LOOP('',(#24325,#24326,#24327,#24328)); #3866=EDGE_LOOP('',(#24329,#24330,#24331,#24332,#24333,#24334,#24335,#24336)); #3867=EDGE_LOOP('',(#24337,#24338,#24339,#24340)); #3868=EDGE_LOOP('',(#24341,#24342,#24343,#24344,#24345,#24346,#24347,#24348)); #3869=EDGE_LOOP('',(#24349,#24350,#24351,#24352)); #3870=EDGE_LOOP('',(#24353,#24354,#24355,#24356)); #3871=EDGE_LOOP('',(#24357,#24358,#24359,#24360)); #3872=EDGE_LOOP('',(#24361,#24362,#24363,#24364)); #3873=EDGE_LOOP('',(#24365,#24366,#24367,#24368)); #3874=EDGE_LOOP('',(#24369,#24370,#24371,#24372)); #3875=EDGE_LOOP('',(#24373,#24374,#24375,#24376)); #3876=EDGE_LOOP('',(#24377,#24378,#24379,#24380)); #3877=EDGE_LOOP('',(#24381,#24382,#24383,#24384,#24385,#24386,#24387,#24388, #24389,#24390,#24391,#24392)); #3878=EDGE_LOOP('',(#24393)); #3879=EDGE_LOOP('',(#24394)); #3880=EDGE_LOOP('',(#24395)); #3881=EDGE_LOOP('',(#24396)); #3882=EDGE_LOOP('',(#24397)); #3883=EDGE_LOOP('',(#24398)); #3884=EDGE_LOOP('',(#24399)); #3885=EDGE_LOOP('',(#24400)); #3886=EDGE_LOOP('',(#24401)); #3887=EDGE_LOOP('',(#24402)); #3888=EDGE_LOOP('',(#24403)); #3889=EDGE_LOOP('',(#24404)); #3890=EDGE_LOOP('',(#24405)); #3891=EDGE_LOOP('',(#24406)); #3892=EDGE_LOOP('',(#24407,#24408,#24409,#24410)); #3893=EDGE_LOOP('',(#24411,#24412,#24413,#24414)); #3894=EDGE_LOOP('',(#24415,#24416,#24417,#24418)); #3895=EDGE_LOOP('',(#24419,#24420,#24421,#24422)); #3896=EDGE_LOOP('',(#24423,#24424,#24425,#24426)); #3897=EDGE_LOOP('',(#24427,#24428,#24429,#24430)); #3898=EDGE_LOOP('',(#24431,#24432,#24433,#24434)); #3899=EDGE_LOOP('',(#24435,#24436,#24437,#24438)); #3900=EDGE_LOOP('',(#24439,#24440,#24441,#24442)); #3901=EDGE_LOOP('',(#24443,#24444,#24445,#24446)); #3902=EDGE_LOOP('',(#24447,#24448,#24449,#24450)); #3903=EDGE_LOOP('',(#24451,#24452,#24453,#24454)); #3904=EDGE_LOOP('',(#24455,#24456,#24457,#24458)); #3905=EDGE_LOOP('',(#24459,#24460,#24461,#24462)); #3906=EDGE_LOOP('',(#24463,#24464,#24465,#24466)); #3907=EDGE_LOOP('',(#24467,#24468,#24469,#24470)); #3908=EDGE_LOOP('',(#24471,#24472,#24473,#24474)); #3909=EDGE_LOOP('',(#24475,#24476,#24477,#24478)); #3910=EDGE_LOOP('',(#24479,#24480,#24481,#24482)); #3911=EDGE_LOOP('',(#24483,#24484,#24485,#24486)); #3912=EDGE_LOOP('',(#24487,#24488,#24489,#24490)); #3913=EDGE_LOOP('',(#24491,#24492,#24493,#24494)); #3914=EDGE_LOOP('',(#24495,#24496,#24497,#24498)); #3915=EDGE_LOOP('',(#24499,#24500,#24501,#24502)); #3916=EDGE_LOOP('',(#24503,#24504,#24505,#24506)); #3917=EDGE_LOOP('',(#24507,#24508,#24509,#24510)); #3918=EDGE_LOOP('',(#24511,#24512,#24513,#24514)); #3919=EDGE_LOOP('',(#24515,#24516,#24517,#24518)); #3920=EDGE_LOOP('',(#24519,#24520,#24521,#24522)); #3921=EDGE_LOOP('',(#24523,#24524,#24525,#24526)); #3922=EDGE_LOOP('',(#24527,#24528,#24529,#24530)); #3923=LINE('',#35208,#7023); #3924=LINE('',#35211,#7024); #3925=LINE('',#35214,#7025); #3926=LINE('',#35216,#7026); #3927=LINE('',#35217,#7027); #3928=LINE('',#35221,#7028); #3929=LINE('',#35223,#7029); #3930=LINE('',#35225,#7030); #3931=LINE('',#35226,#7031); #3932=LINE('',#35229,#7032); #3933=LINE('',#35231,#7033); #3934=LINE('',#35232,#7034); #3935=LINE('',#35234,#7035); #3936=LINE('',#35235,#7036); #3937=LINE('',#35237,#7037); #3938=LINE('',#35238,#7038); #3939=LINE('',#35244,#7039); #3940=LINE('',#35246,#7040); #3941=LINE('',#35248,#7041); #3942=LINE('',#35249,#7042); #3943=LINE('',#35253,#7043); #3944=LINE('',#35255,#7044); #3945=LINE('',#35257,#7045); #3946=LINE('',#35258,#7046); #3947=LINE('',#35260,#7047); #3948=LINE('',#35261,#7048); #3949=LINE('',#35264,#7049); #3950=LINE('',#35267,#7050); #3951=LINE('',#35271,#7051); #3952=LINE('',#35273,#7052); #3953=LINE('',#35275,#7053); #3954=LINE('',#35276,#7054); #3955=LINE('',#35280,#7055); #3956=LINE('',#35282,#7056); #3957=LINE('',#35284,#7057); #3958=LINE('',#35285,#7058); #3959=LINE('',#35287,#7059); #3960=LINE('',#35288,#7060); #3961=LINE('',#35291,#7061); #3962=LINE('',#35294,#7062); #3963=LINE('',#35298,#7063); #3964=LINE('',#35300,#7064); #3965=LINE('',#35302,#7065); #3966=LINE('',#35303,#7066); #3967=LINE('',#35307,#7067); #3968=LINE('',#35309,#7068); #3969=LINE('',#35311,#7069); #3970=LINE('',#35312,#7070); #3971=LINE('',#35314,#7071); #3972=LINE('',#35315,#7072); #3973=LINE('',#35318,#7073); #3974=LINE('',#35321,#7074); #3975=LINE('',#35325,#7075); #3976=LINE('',#35327,#7076); #3977=LINE('',#35329,#7077); #3978=LINE('',#35330,#7078); #3979=LINE('',#35334,#7079); #3980=LINE('',#35336,#7080); #3981=LINE('',#35338,#7081); #3982=LINE('',#35339,#7082); #3983=LINE('',#35341,#7083); #3984=LINE('',#35342,#7084); #3985=LINE('',#35345,#7085); #3986=LINE('',#35348,#7086); #3987=LINE('',#35352,#7087); #3988=LINE('',#35354,#7088); #3989=LINE('',#35356,#7089); #3990=LINE('',#35357,#7090); #3991=LINE('',#35361,#7091); #3992=LINE('',#35363,#7092); #3993=LINE('',#35365,#7093); #3994=LINE('',#35366,#7094); #3995=LINE('',#35368,#7095); #3996=LINE('',#35369,#7096); #3997=LINE('',#35372,#7097); #3998=LINE('',#35375,#7098); #3999=LINE('',#35379,#7099); #4000=LINE('',#35381,#7100); #4001=LINE('',#35383,#7101); #4002=LINE('',#35384,#7102); #4003=LINE('',#35388,#7103); #4004=LINE('',#35390,#7104); #4005=LINE('',#35392,#7105); #4006=LINE('',#35393,#7106); #4007=LINE('',#35395,#7107); #4008=LINE('',#35396,#7108); #4009=LINE('',#35401,#7109); #4010=LINE('',#35402,#7110); #4011=LINE('',#35406,#7111); #4012=LINE('',#35408,#7112); #4013=LINE('',#35410,#7113); #4014=LINE('',#35411,#7114); #4015=LINE('',#35415,#7115); #4016=LINE('',#35417,#7116); #4017=LINE('',#35419,#7117); #4018=LINE('',#35420,#7118); #4019=LINE('',#35422,#7119); #4020=LINE('',#35423,#7120); #4021=LINE('',#35428,#7121); #4022=LINE('',#35429,#7122); #4023=LINE('',#35433,#7123); #4024=LINE('',#35435,#7124); #4025=LINE('',#35437,#7125); #4026=LINE('',#35438,#7126); #4027=LINE('',#35442,#7127); #4028=LINE('',#35444,#7128); #4029=LINE('',#35446,#7129); #4030=LINE('',#35447,#7130); #4031=LINE('',#35449,#7131); #4032=LINE('',#35450,#7132); #4033=LINE('',#35455,#7133); #4034=LINE('',#35456,#7134); #4035=LINE('',#35460,#7135); #4036=LINE('',#35462,#7136); #4037=LINE('',#35464,#7137); #4038=LINE('',#35465,#7138); #4039=LINE('',#35469,#7139); #4040=LINE('',#35471,#7140); #4041=LINE('',#35473,#7141); #4042=LINE('',#35474,#7142); #4043=LINE('',#35476,#7143); #4044=LINE('',#35477,#7144); #4045=LINE('',#35482,#7145); #4046=LINE('',#35483,#7146); #4047=LINE('',#35487,#7147); #4048=LINE('',#35489,#7148); #4049=LINE('',#35491,#7149); #4050=LINE('',#35492,#7150); #4051=LINE('',#35496,#7151); #4052=LINE('',#35498,#7152); #4053=LINE('',#35500,#7153); #4054=LINE('',#35501,#7154); #4055=LINE('',#35503,#7155); #4056=LINE('',#35504,#7156); #4057=LINE('',#35509,#7157); #4058=LINE('',#35510,#7158); #4059=LINE('',#35514,#7159); #4060=LINE('',#35516,#7160); #4061=LINE('',#35518,#7161); #4062=LINE('',#35519,#7162); #4063=LINE('',#35523,#7163); #4064=LINE('',#35525,#7164); #4065=LINE('',#35527,#7165); #4066=LINE('',#35528,#7166); #4067=LINE('',#35530,#7167); #4068=LINE('',#35531,#7168); #4069=LINE('',#35536,#7169); #4070=LINE('',#35537,#7170); #4071=LINE('',#35541,#7171); #4072=LINE('',#35543,#7172); #4073=LINE('',#35545,#7173); #4074=LINE('',#35546,#7174); #4075=LINE('',#35550,#7175); #4076=LINE('',#35552,#7176); #4077=LINE('',#35554,#7177); #4078=LINE('',#35555,#7178); #4079=LINE('',#35557,#7179); #4080=LINE('',#35558,#7180); #4081=LINE('',#35563,#7181); #4082=LINE('',#35564,#7182); #4083=LINE('',#35568,#7183); #4084=LINE('',#35570,#7184); #4085=LINE('',#35572,#7185); #4086=LINE('',#35573,#7186); #4087=LINE('',#35577,#7187); #4088=LINE('',#35579,#7188); #4089=LINE('',#35581,#7189); #4090=LINE('',#35582,#7190); #4091=LINE('',#35584,#7191); #4092=LINE('',#35585,#7192); #4093=LINE('',#35590,#7193); #4094=LINE('',#35591,#7194); #4095=LINE('',#35595,#7195); #4096=LINE('',#35597,#7196); #4097=LINE('',#35599,#7197); #4098=LINE('',#35600,#7198); #4099=LINE('',#35604,#7199); #4100=LINE('',#35606,#7200); #4101=LINE('',#35608,#7201); #4102=LINE('',#35609,#7202); #4103=LINE('',#35611,#7203); #4104=LINE('',#35612,#7204); #4105=LINE('',#35617,#7205); #4106=LINE('',#35618,#7206); #4107=LINE('',#35622,#7207); #4108=LINE('',#35624,#7208); #4109=LINE('',#35626,#7209); #4110=LINE('',#35627,#7210); #4111=LINE('',#35631,#7211); #4112=LINE('',#35633,#7212); #4113=LINE('',#35635,#7213); #4114=LINE('',#35636,#7214); #4115=LINE('',#35638,#7215); #4116=LINE('',#35639,#7216); #4117=LINE('',#35644,#7217); #4118=LINE('',#35645,#7218); #4119=LINE('',#35649,#7219); #4120=LINE('',#35651,#7220); #4121=LINE('',#35653,#7221); #4122=LINE('',#35654,#7222); #4123=LINE('',#35658,#7223); #4124=LINE('',#35660,#7224); #4125=LINE('',#35662,#7225); #4126=LINE('',#35663,#7226); #4127=LINE('',#35665,#7227); #4128=LINE('',#35666,#7228); #4129=LINE('',#35669,#7229); #4130=LINE('',#35672,#7230); #4131=LINE('',#35676,#7231); #4132=LINE('',#35678,#7232); #4133=LINE('',#35680,#7233); #4134=LINE('',#35681,#7234); #4135=LINE('',#35685,#7235); #4136=LINE('',#35687,#7236); #4137=LINE('',#35689,#7237); #4138=LINE('',#35690,#7238); #4139=LINE('',#35692,#7239); #4140=LINE('',#35693,#7240); #4141=LINE('',#35696,#7241); #4142=LINE('',#35699,#7242); #4143=LINE('',#35703,#7243); #4144=LINE('',#35705,#7244); #4145=LINE('',#35707,#7245); #4146=LINE('',#35708,#7246); #4147=LINE('',#35712,#7247); #4148=LINE('',#35714,#7248); #4149=LINE('',#35716,#7249); #4150=LINE('',#35717,#7250); #4151=LINE('',#35719,#7251); #4152=LINE('',#35720,#7252); #4153=LINE('',#35723,#7253); #4154=LINE('',#35726,#7254); #4155=LINE('',#35730,#7255); #4156=LINE('',#35732,#7256); #4157=LINE('',#35734,#7257); #4158=LINE('',#35735,#7258); #4159=LINE('',#35739,#7259); #4160=LINE('',#35741,#7260); #4161=LINE('',#35743,#7261); #4162=LINE('',#35744,#7262); #4163=LINE('',#35746,#7263); #4164=LINE('',#35747,#7264); #4165=LINE('',#35750,#7265); #4166=LINE('',#35753,#7266); #4167=LINE('',#35757,#7267); #4168=LINE('',#35759,#7268); #4169=LINE('',#35761,#7269); #4170=LINE('',#35762,#7270); #4171=LINE('',#35766,#7271); #4172=LINE('',#35768,#7272); #4173=LINE('',#35770,#7273); #4174=LINE('',#35771,#7274); #4175=LINE('',#35773,#7275); #4176=LINE('',#35774,#7276); #4177=LINE('',#35777,#7277); #4178=LINE('',#35780,#7278); #4179=LINE('',#35784,#7279); #4180=LINE('',#35786,#7280); #4181=LINE('',#35788,#7281); #4182=LINE('',#35789,#7282); #4183=LINE('',#35793,#7283); #4184=LINE('',#35795,#7284); #4185=LINE('',#35797,#7285); #4186=LINE('',#35798,#7286); #4187=LINE('',#35800,#7287); #4188=LINE('',#35801,#7288); #4189=LINE('',#35804,#7289); #4190=LINE('',#35807,#7290); #4191=LINE('',#35811,#7291); #4192=LINE('',#35813,#7292); #4193=LINE('',#35815,#7293); #4194=LINE('',#35816,#7294); #4195=LINE('',#35820,#7295); #4196=LINE('',#35822,#7296); #4197=LINE('',#35824,#7297); #4198=LINE('',#35825,#7298); #4199=LINE('',#35827,#7299); #4200=LINE('',#35828,#7300); #4201=LINE('',#35831,#7301); #4202=LINE('',#35834,#7302); #4203=LINE('',#35838,#7303); #4204=LINE('',#35840,#7304); #4205=LINE('',#35842,#7305); #4206=LINE('',#35843,#7306); #4207=LINE('',#35847,#7307); #4208=LINE('',#35849,#7308); #4209=LINE('',#35851,#7309); #4210=LINE('',#35852,#7310); #4211=LINE('',#35854,#7311); #4212=LINE('',#35855,#7312); #4213=LINE('',#35858,#7313); #4214=LINE('',#35861,#7314); #4215=LINE('',#35865,#7315); #4216=LINE('',#35867,#7316); #4217=LINE('',#35869,#7317); #4218=LINE('',#35870,#7318); #4219=LINE('',#35874,#7319); #4220=LINE('',#35876,#7320); #4221=LINE('',#35878,#7321); #4222=LINE('',#35879,#7322); #4223=LINE('',#35881,#7323); #4224=LINE('',#35882,#7324); #4225=LINE('',#35885,#7325); #4226=LINE('',#35888,#7326); #4227=LINE('',#35892,#7327); #4228=LINE('',#35894,#7328); #4229=LINE('',#35896,#7329); #4230=LINE('',#35897,#7330); #4231=LINE('',#35901,#7331); #4232=LINE('',#35903,#7332); #4233=LINE('',#35905,#7333); #4234=LINE('',#35906,#7334); #4235=LINE('',#35908,#7335); #4236=LINE('',#35909,#7336); #4237=LINE('',#35912,#7337); #4238=LINE('',#35915,#7338); #4239=LINE('',#35919,#7339); #4240=LINE('',#35921,#7340); #4241=LINE('',#35923,#7341); #4242=LINE('',#35924,#7342); #4243=LINE('',#35928,#7343); #4244=LINE('',#35930,#7344); #4245=LINE('',#35932,#7345); #4246=LINE('',#35933,#7346); #4247=LINE('',#35935,#7347); #4248=LINE('',#35936,#7348); #4249=LINE('',#35939,#7349); #4250=LINE('',#35942,#7350); #4251=LINE('',#35946,#7351); #4252=LINE('',#35948,#7352); #4253=LINE('',#35950,#7353); #4254=LINE('',#35951,#7354); #4255=LINE('',#35955,#7355); #4256=LINE('',#35957,#7356); #4257=LINE('',#35959,#7357); #4258=LINE('',#35960,#7358); #4259=LINE('',#35962,#7359); #4260=LINE('',#35963,#7360); #4261=LINE('',#35968,#7361); #4262=LINE('',#35969,#7362); #4263=LINE('',#35973,#7363); #4264=LINE('',#35975,#7364); #4265=LINE('',#35977,#7365); #4266=LINE('',#35978,#7366); #4267=LINE('',#35982,#7367); #4268=LINE('',#35984,#7368); #4269=LINE('',#35986,#7369); #4270=LINE('',#35987,#7370); #4271=LINE('',#35989,#7371); #4272=LINE('',#35990,#7372); #4273=LINE('',#35995,#7373); #4274=LINE('',#35996,#7374); #4275=LINE('',#36000,#7375); #4276=LINE('',#36002,#7376); #4277=LINE('',#36004,#7377); #4278=LINE('',#36005,#7378); #4279=LINE('',#36009,#7379); #4280=LINE('',#36011,#7380); #4281=LINE('',#36013,#7381); #4282=LINE('',#36014,#7382); #4283=LINE('',#36016,#7383); #4284=LINE('',#36017,#7384); #4285=LINE('',#36022,#7385); #4286=LINE('',#36023,#7386); #4287=LINE('',#36027,#7387); #4288=LINE('',#36029,#7388); #4289=LINE('',#36031,#7389); #4290=LINE('',#36032,#7390); #4291=LINE('',#36036,#7391); #4292=LINE('',#36038,#7392); #4293=LINE('',#36040,#7393); #4294=LINE('',#36041,#7394); #4295=LINE('',#36043,#7395); #4296=LINE('',#36044,#7396); #4297=LINE('',#36049,#7397); #4298=LINE('',#36050,#7398); #4299=LINE('',#36054,#7399); #4300=LINE('',#36056,#7400); #4301=LINE('',#36058,#7401); #4302=LINE('',#36059,#7402); #4303=LINE('',#36063,#7403); #4304=LINE('',#36065,#7404); #4305=LINE('',#36067,#7405); #4306=LINE('',#36068,#7406); #4307=LINE('',#36070,#7407); #4308=LINE('',#36071,#7408); #4309=LINE('',#36074,#7409); #4310=LINE('',#36077,#7410); #4311=LINE('',#36081,#7411); #4312=LINE('',#36083,#7412); #4313=LINE('',#36085,#7413); #4314=LINE('',#36086,#7414); #4315=LINE('',#36090,#7415); #4316=LINE('',#36092,#7416); #4317=LINE('',#36094,#7417); #4318=LINE('',#36095,#7418); #4319=LINE('',#36097,#7419); #4320=LINE('',#36098,#7420); #4321=LINE('',#36103,#7421); #4322=LINE('',#36104,#7422); #4323=LINE('',#36108,#7423); #4324=LINE('',#36110,#7424); #4325=LINE('',#36112,#7425); #4326=LINE('',#36113,#7426); #4327=LINE('',#36117,#7427); #4328=LINE('',#36119,#7428); #4329=LINE('',#36121,#7429); #4330=LINE('',#36122,#7430); #4331=LINE('',#36124,#7431); #4332=LINE('',#36125,#7432); #4333=LINE('',#36130,#7433); #4334=LINE('',#36131,#7434); #4335=LINE('',#36135,#7435); #4336=LINE('',#36137,#7436); #4337=LINE('',#36139,#7437); #4338=LINE('',#36140,#7438); #4339=LINE('',#36144,#7439); #4340=LINE('',#36146,#7440); #4341=LINE('',#36148,#7441); #4342=LINE('',#36149,#7442); #4343=LINE('',#36151,#7443); #4344=LINE('',#36152,#7444); #4345=LINE('',#36157,#7445); #4346=LINE('',#36158,#7446); #4347=LINE('',#36162,#7447); #4348=LINE('',#36164,#7448); #4349=LINE('',#36166,#7449); #4350=LINE('',#36167,#7450); #4351=LINE('',#36171,#7451); #4352=LINE('',#36173,#7452); #4353=LINE('',#36175,#7453); #4354=LINE('',#36176,#7454); #4355=LINE('',#36178,#7455); #4356=LINE('',#36179,#7456); #4357=LINE('',#36182,#7457); #4358=LINE('',#36185,#7458); #4359=LINE('',#36189,#7459); #4360=LINE('',#36191,#7460); #4361=LINE('',#36193,#7461); #4362=LINE('',#36194,#7462); #4363=LINE('',#36198,#7463); #4364=LINE('',#36200,#7464); #4365=LINE('',#36202,#7465); #4366=LINE('',#36203,#7466); #4367=LINE('',#36205,#7467); #4368=LINE('',#36206,#7468); #4369=LINE('',#36211,#7469); #4370=LINE('',#36212,#7470); #4371=LINE('',#36216,#7471); #4372=LINE('',#36218,#7472); #4373=LINE('',#36220,#7473); #4374=LINE('',#36221,#7474); #4375=LINE('',#36225,#7475); #4376=LINE('',#36227,#7476); #4377=LINE('',#36229,#7477); #4378=LINE('',#36230,#7478); #4379=LINE('',#36232,#7479); #4380=LINE('',#36233,#7480); #4381=LINE('',#36236,#7481); #4382=LINE('',#36239,#7482); #4383=LINE('',#36243,#7483); #4384=LINE('',#36245,#7484); #4385=LINE('',#36247,#7485); #4386=LINE('',#36248,#7486); #4387=LINE('',#36252,#7487); #4388=LINE('',#36254,#7488); #4389=LINE('',#36256,#7489); #4390=LINE('',#36257,#7490); #4391=LINE('',#36259,#7491); #4392=LINE('',#36260,#7492); #4393=LINE('',#36263,#7493); #4394=LINE('',#36266,#7494); #4395=LINE('',#36270,#7495); #4396=LINE('',#36272,#7496); #4397=LINE('',#36274,#7497); #4398=LINE('',#36275,#7498); #4399=LINE('',#36279,#7499); #4400=LINE('',#36281,#7500); #4401=LINE('',#36283,#7501); #4402=LINE('',#36284,#7502); #4403=LINE('',#36286,#7503); #4404=LINE('',#36287,#7504); #4405=LINE('',#36290,#7505); #4406=LINE('',#36293,#7506); #4407=LINE('',#36297,#7507); #4408=LINE('',#36299,#7508); #4409=LINE('',#36301,#7509); #4410=LINE('',#36302,#7510); #4411=LINE('',#36306,#7511); #4412=LINE('',#36308,#7512); #4413=LINE('',#36310,#7513); #4414=LINE('',#36311,#7514); #4415=LINE('',#36313,#7515); #4416=LINE('',#36314,#7516); #4417=LINE('',#36317,#7517); #4418=LINE('',#36320,#7518); #4419=LINE('',#36324,#7519); #4420=LINE('',#36326,#7520); #4421=LINE('',#36328,#7521); #4422=LINE('',#36329,#7522); #4423=LINE('',#36333,#7523); #4424=LINE('',#36335,#7524); #4425=LINE('',#36337,#7525); #4426=LINE('',#36338,#7526); #4427=LINE('',#36340,#7527); #4428=LINE('',#36341,#7528); #4429=LINE('',#36346,#7529); #4430=LINE('',#36347,#7530); #4431=LINE('',#36349,#7531); #4432=LINE('',#36350,#7532); #4433=LINE('',#36352,#7533); #4434=LINE('',#36353,#7534); #4435=LINE('',#36354,#7535); #4436=LINE('',#36355,#7536); #4437=LINE('',#36356,#7537); #4438=LINE('',#36357,#7538); #4439=LINE('',#36358,#7539); #4440=LINE('',#36359,#7540); #4441=LINE('',#36360,#7541); #4442=LINE('',#36361,#7542); #4443=LINE('',#36362,#7543); #4444=LINE('',#36363,#7544); #4445=LINE('',#36364,#7545); #4446=LINE('',#36365,#7546); #4447=LINE('',#36366,#7547); #4448=LINE('',#36367,#7548); #4449=LINE('',#36368,#7549); #4450=LINE('',#36370,#7550); #4451=LINE('',#36372,#7551); #4452=LINE('',#36373,#7552); #4453=LINE('',#36374,#7553); #4454=LINE('',#36375,#7554); #4455=LINE('',#36376,#7555); #4456=LINE('',#36377,#7556); #4457=LINE('',#36378,#7557); #4458=LINE('',#36379,#7558); #4459=LINE('',#36380,#7559); #4460=LINE('',#36381,#7560); #4461=LINE('',#36382,#7561); #4462=LINE('',#36383,#7562); #4463=LINE('',#36384,#7563); #4464=LINE('',#36385,#7564); #4465=LINE('',#36386,#7565); #4466=LINE('',#36387,#7566); #4467=LINE('',#36388,#7567); #4468=LINE('',#36390,#7568); #4469=LINE('',#36391,#7569); #4470=LINE('',#36392,#7570); #4471=LINE('',#36393,#7571); #4472=LINE('',#36394,#7572); #4473=LINE('',#36395,#7573); #4474=LINE('',#36396,#7574); #4475=LINE('',#36397,#7575); #4476=LINE('',#36402,#7576); #4477=LINE('',#36403,#7577); #4478=LINE('',#36408,#7578); #4479=LINE('',#36409,#7579); #4480=LINE('',#36414,#7580); #4481=LINE('',#36415,#7581); #4482=LINE('',#36420,#7582); #4483=LINE('',#36421,#7583); #4484=LINE('',#36426,#7584); #4485=LINE('',#36427,#7585); #4486=LINE('',#36432,#7586); #4487=LINE('',#36433,#7587); #4488=LINE('',#36438,#7588); #4489=LINE('',#36439,#7589); #4490=LINE('',#36444,#7590); #4491=LINE('',#36445,#7591); #4492=LINE('',#36450,#7592); #4493=LINE('',#36451,#7593); #4494=LINE('',#36453,#7594); #4495=LINE('',#36455,#7595); #4496=LINE('',#36457,#7596); #4497=LINE('',#36459,#7597); #4498=LINE('',#36461,#7598); #4499=LINE('',#36463,#7599); #4500=LINE('',#36465,#7600); #4501=LINE('',#36467,#7601); #4502=LINE('',#36470,#7602); #4503=LINE('',#36471,#7603); #4504=LINE('',#36474,#7604); #4505=LINE('',#36476,#7605); #4506=LINE('',#36478,#7606); #4507=LINE('',#36480,#7607); #4508=LINE('',#36482,#7608); #4509=LINE('',#36484,#7609); #4510=LINE('',#36486,#7610); #4511=LINE('',#36488,#7611); #4512=LINE('',#36490,#7612); #4513=LINE('',#36492,#7613); #4514=LINE('',#36494,#7614); #4515=LINE('',#36496,#7615); #4516=LINE('',#36498,#7616); #4517=LINE('',#36500,#7617); #4518=LINE('',#36502,#7618); #4519=LINE('',#36504,#7619); #4520=LINE('',#36506,#7620); #4521=LINE('',#36508,#7621); #4522=LINE('',#36510,#7622); #4523=LINE('',#36512,#7623); #4524=LINE('',#36514,#7624); #4525=LINE('',#36516,#7625); #4526=LINE('',#36518,#7626); #4527=LINE('',#36520,#7627); #4528=LINE('',#36522,#7628); #4529=LINE('',#36524,#7629); #4530=LINE('',#36526,#7630); #4531=LINE('',#36528,#7631); #4532=LINE('',#36530,#7632); #4533=LINE('',#36532,#7633); #4534=LINE('',#36534,#7634); #4535=LINE('',#36536,#7635); #4536=LINE('',#36538,#7636); #4537=LINE('',#36540,#7637); #4538=LINE('',#36542,#7638); #4539=LINE('',#36544,#7639); #4540=LINE('',#36546,#7640); #4541=LINE('',#36548,#7641); #4542=LINE('',#36550,#7642); #4543=LINE('',#36552,#7643); #4544=LINE('',#36554,#7644); #4545=LINE('',#36556,#7645); #4546=LINE('',#36558,#7646); #4547=LINE('',#36560,#7647); #4548=LINE('',#36562,#7648); #4549=LINE('',#36564,#7649); #4550=LINE('',#36566,#7650); #4551=LINE('',#36568,#7651); #4552=LINE('',#36570,#7652); #4553=LINE('',#36572,#7653); #4554=LINE('',#36574,#7654); #4555=LINE('',#36576,#7655); #4556=LINE('',#36578,#7656); #4557=LINE('',#36580,#7657); #4558=LINE('',#36582,#7658); #4559=LINE('',#36584,#7659); #4560=LINE('',#36586,#7660); #4561=LINE('',#36588,#7661); #4562=LINE('',#36590,#7662); #4563=LINE('',#36592,#7663); #4564=LINE('',#36594,#7664); #4565=LINE('',#36596,#7665); #4566=LINE('',#36598,#7666); #4567=LINE('',#36600,#7667); #4568=LINE('',#36602,#7668); #4569=LINE('',#36604,#7669); #4570=LINE('',#36606,#7670); #4571=LINE('',#36608,#7671); #4572=LINE('',#36610,#7672); #4573=LINE('',#36612,#7673); #4574=LINE('',#36614,#7674); #4575=LINE('',#36616,#7675); #4576=LINE('',#36618,#7676); #4577=LINE('',#36620,#7677); #4578=LINE('',#36622,#7678); #4579=LINE('',#36624,#7679); #4580=LINE('',#36626,#7680); #4581=LINE('',#36628,#7681); #4582=LINE('',#36630,#7682); #4583=LINE('',#36632,#7683); #4584=LINE('',#36634,#7684); #4585=LINE('',#36636,#7685); #4586=LINE('',#36638,#7686); #4587=LINE('',#36640,#7687); #4588=LINE('',#36642,#7688); #4589=LINE('',#36644,#7689); #4590=LINE('',#36646,#7690); #4591=LINE('',#36648,#7691); #4592=LINE('',#36650,#7692); #4593=LINE('',#36652,#7693); #4594=LINE('',#36654,#7694); #4595=LINE('',#36656,#7695); #4596=LINE('',#36658,#7696); #4597=LINE('',#36660,#7697); #4598=LINE('',#36662,#7698); #4599=LINE('',#36664,#7699); #4600=LINE('',#36666,#7700); #4601=LINE('',#36668,#7701); #4602=LINE('',#36670,#7702); #4603=LINE('',#36672,#7703); #4604=LINE('',#36674,#7704); #4605=LINE('',#36676,#7705); #4606=LINE('',#36678,#7706); #4607=LINE('',#36680,#7707); #4608=LINE('',#36682,#7708); #4609=LINE('',#36684,#7709); #4610=LINE('',#36686,#7710); #4611=LINE('',#36688,#7711); #4612=LINE('',#36690,#7712); #4613=LINE('',#36692,#7713); #4614=LINE('',#36694,#7714); #4615=LINE('',#36696,#7715); #4616=LINE('',#36698,#7716); #4617=LINE('',#36700,#7717); #4618=LINE('',#36702,#7718); #4619=LINE('',#36704,#7719); #4620=LINE('',#36706,#7720); #4621=LINE('',#36708,#7721); #4622=LINE('',#36710,#7722); #4623=LINE('',#36712,#7723); #4624=LINE('',#36714,#7724); #4625=LINE('',#36716,#7725); #4626=LINE('',#36718,#7726); #4627=LINE('',#36720,#7727); #4628=LINE('',#36722,#7728); #4629=LINE('',#36724,#7729); #4630=LINE('',#36726,#7730); #4631=LINE('',#36728,#7731); #4632=LINE('',#36730,#7732); #4633=LINE('',#36732,#7733); #4634=LINE('',#36734,#7734); #4635=LINE('',#36735,#7735); #4636=LINE('',#36738,#7736); #4637=LINE('',#36740,#7737); #4638=LINE('',#36742,#7738); #4639=LINE('',#36743,#7739); #4640=LINE('',#36746,#7740); #4641=LINE('',#36748,#7741); #4642=LINE('',#36749,#7742); #4643=LINE('',#36751,#7743); #4644=LINE('',#36753,#7744); #4645=LINE('',#36754,#7745); #4646=LINE('',#36757,#7746); #4647=LINE('',#36759,#7747); #4648=LINE('',#36760,#7748); #4649=LINE('',#36762,#7749); #4650=LINE('',#36764,#7750); #4651=LINE('',#36765,#7751); #4652=LINE('',#36768,#7752); #4653=LINE('',#36770,#7753); #4654=LINE('',#36771,#7754); #4655=LINE('',#36773,#7755); #4656=LINE('',#36775,#7756); #4657=LINE('',#36776,#7757); #4658=LINE('',#36780,#7758); #4659=LINE('',#36781,#7759); #4660=LINE('',#36783,#7760); #4661=LINE('',#36785,#7761); #4662=LINE('',#36786,#7762); #4663=LINE('',#36787,#7763); #4664=LINE('',#36790,#7764); #4665=LINE('',#36792,#7765); #4666=LINE('',#36793,#7766); #4667=LINE('',#36795,#7767); #4668=LINE('',#36797,#7768); #4669=LINE('',#36798,#7769); #4670=LINE('',#36801,#7770); #4671=LINE('',#36803,#7771); #4672=LINE('',#36804,#7772); #4673=LINE('',#36806,#7773); #4674=LINE('',#36808,#7774); #4675=LINE('',#36809,#7775); #4676=LINE('',#36812,#7776); #4677=LINE('',#36814,#7777); #4678=LINE('',#36815,#7778); #4679=LINE('',#36817,#7779); #4680=LINE('',#36819,#7780); #4681=LINE('',#36820,#7781); #4682=LINE('',#36824,#7782); #4683=LINE('',#36825,#7783); #4684=LINE('',#36827,#7784); #4685=LINE('',#36829,#7785); #4686=LINE('',#36830,#7786); #4687=LINE('',#36831,#7787); #4688=LINE('',#36834,#7788); #4689=LINE('',#36836,#7789); #4690=LINE('',#36837,#7790); #4691=LINE('',#36839,#7791); #4692=LINE('',#36841,#7792); #4693=LINE('',#36842,#7793); #4694=LINE('',#36845,#7794); #4695=LINE('',#36847,#7795); #4696=LINE('',#36848,#7796); #4697=LINE('',#36850,#7797); #4698=LINE('',#36852,#7798); #4699=LINE('',#36853,#7799); #4700=LINE('',#36857,#7800); #4701=LINE('',#36858,#7801); #4702=LINE('',#36860,#7802); #4703=LINE('',#36862,#7803); #4704=LINE('',#36863,#7804); #4705=LINE('',#36864,#7805); #4706=LINE('',#36867,#7806); #4707=LINE('',#36869,#7807); #4708=LINE('',#36870,#7808); #4709=LINE('',#36872,#7809); #4710=LINE('',#36874,#7810); #4711=LINE('',#36875,#7811); #4712=LINE('',#36879,#7812); #4713=LINE('',#36880,#7813); #4714=LINE('',#36882,#7814); #4715=LINE('',#36884,#7815); #4716=LINE('',#36885,#7816); #4717=LINE('',#36886,#7817); #4718=LINE('',#36889,#7818); #4719=LINE('',#36891,#7819); #4720=LINE('',#36892,#7820); #4721=LINE('',#36894,#7821); #4722=LINE('',#36896,#7822); #4723=LINE('',#36897,#7823); #4724=LINE('',#36901,#7824); #4725=LINE('',#36902,#7825); #4726=LINE('',#36904,#7826); #4727=LINE('',#36906,#7827); #4728=LINE('',#36907,#7828); #4729=LINE('',#36908,#7829); #4730=LINE('',#36911,#7830); #4731=LINE('',#36913,#7831); #4732=LINE('',#36914,#7832); #4733=LINE('',#36915,#7833); #4734=LINE('',#36918,#7834); #4735=LINE('',#36920,#7835); #4736=LINE('',#36921,#7836); #4737=LINE('',#36923,#7837); #4738=LINE('',#36925,#7838); #4739=LINE('',#36926,#7839); #4740=LINE('',#36930,#7840); #4741=LINE('',#36931,#7841); #4742=LINE('',#36933,#7842); #4743=LINE('',#36935,#7843); #4744=LINE('',#36936,#7844); #4745=LINE('',#36937,#7845); #4746=LINE('',#36940,#7846); #4747=LINE('',#36942,#7847); #4748=LINE('',#36943,#7848); #4749=LINE('',#36945,#7849); #4750=LINE('',#36947,#7850); #4751=LINE('',#36948,#7851); #4752=LINE('',#36952,#7852); #4753=LINE('',#36953,#7853); #4754=LINE('',#36955,#7854); #4755=LINE('',#36957,#7855); #4756=LINE('',#36958,#7856); #4757=LINE('',#36959,#7857); #4758=LINE('',#36962,#7858); #4759=LINE('',#36964,#7859); #4760=LINE('',#36965,#7860); #4761=LINE('',#36967,#7861); #4762=LINE('',#36969,#7862); #4763=LINE('',#36970,#7863); #4764=LINE('',#36974,#7864); #4765=LINE('',#36975,#7865); #4766=LINE('',#36977,#7866); #4767=LINE('',#36979,#7867); #4768=LINE('',#36980,#7868); #4769=LINE('',#36981,#7869); #4770=LINE('',#36985,#7870); #4771=LINE('',#36986,#7871); #4772=LINE('',#36988,#7872); #4773=LINE('',#36990,#7873); #4774=LINE('',#36991,#7874); #4775=LINE('',#36992,#7875); #4776=LINE('',#36996,#7876); #4777=LINE('',#36997,#7877); #4778=LINE('',#36999,#7878); #4779=LINE('',#37001,#7879); #4780=LINE('',#37002,#7880); #4781=LINE('',#37003,#7881); #4782=LINE('',#37006,#7882); #4783=LINE('',#37008,#7883); #4784=LINE('',#37009,#7884); #4785=LINE('',#37011,#7885); #4786=LINE('',#37013,#7886); #4787=LINE('',#37014,#7887); #4788=LINE('',#37018,#7888); #4789=LINE('',#37019,#7889); #4790=LINE('',#37021,#7890); #4791=LINE('',#37023,#7891); #4792=LINE('',#37024,#7892); #4793=LINE('',#37025,#7893); #4794=LINE('',#37028,#7894); #4795=LINE('',#37030,#7895); #4796=LINE('',#37031,#7896); #4797=LINE('',#37032,#7897); #4798=LINE('',#37036,#7898); #4799=LINE('',#37037,#7899); #4800=LINE('',#37039,#7900); #4801=LINE('',#37041,#7901); #4802=LINE('',#37042,#7902); #4803=LINE('',#37043,#7903); #4804=LINE('',#37046,#7904); #4805=LINE('',#37048,#7905); #4806=LINE('',#37049,#7906); #4807=LINE('',#37051,#7907); #4808=LINE('',#37053,#7908); #4809=LINE('',#37054,#7909); #4810=LINE('',#37058,#7910); #4811=LINE('',#37059,#7911); #4812=LINE('',#37061,#7912); #4813=LINE('',#37063,#7913); #4814=LINE('',#37064,#7914); #4815=LINE('',#37065,#7915); #4816=LINE('',#37069,#7916); #4817=LINE('',#37070,#7917); #4818=LINE('',#37072,#7918); #4819=LINE('',#37074,#7919); #4820=LINE('',#37075,#7920); #4821=LINE('',#37076,#7921); #4822=LINE('',#37080,#7922); #4823=LINE('',#37081,#7923); #4824=LINE('',#37083,#7924); #4825=LINE('',#37085,#7925); #4826=LINE('',#37086,#7926); #4827=LINE('',#37087,#7927); #4828=LINE('',#37089,#7928); #4829=LINE('',#37093,#7929); #4830=LINE('',#37094,#7930); #4831=LINE('',#37095,#7931); #4832=LINE('',#37099,#7932); #4833=LINE('',#37100,#7933); #4834=LINE('',#37101,#7934); #4835=LINE('',#37104,#7935); #4836=LINE('',#37105,#7936); #4837=LINE('',#37108,#7937); #4838=LINE('',#37109,#7938); #4839=LINE('',#37111,#7939); #4840=LINE('',#37116,#7940); #4841=LINE('',#37117,#7941); #4842=LINE('',#37120,#7942); #4843=LINE('',#37121,#7943); #4844=LINE('',#37123,#7944); #4845=LINE('',#37128,#7945); #4846=LINE('',#37129,#7946); #4847=LINE('',#37132,#7947); #4848=LINE('',#37133,#7948); #4849=LINE('',#37135,#7949); #4850=LINE('',#37140,#7950); #4851=LINE('',#37141,#7951); #4852=LINE('',#37144,#7952); #4853=LINE('',#37145,#7953); #4854=LINE('',#37147,#7954); #4855=LINE('',#37152,#7955); #4856=LINE('',#37153,#7956); #4857=LINE('',#37156,#7957); #4858=LINE('',#37157,#7958); #4859=LINE('',#37159,#7959); #4860=LINE('',#37164,#7960); #4861=LINE('',#37165,#7961); #4862=LINE('',#37168,#7962); #4863=LINE('',#37169,#7963); #4864=LINE('',#37171,#7964); #4865=LINE('',#37176,#7965); #4866=LINE('',#37177,#7966); #4867=LINE('',#37180,#7967); #4868=LINE('',#37181,#7968); #4869=LINE('',#37183,#7969); #4870=LINE('',#37188,#7970); #4871=LINE('',#37189,#7971); #4872=LINE('',#37192,#7972); #4873=LINE('',#37193,#7973); #4874=LINE('',#37195,#7974); #4875=LINE('',#37200,#7975); #4876=LINE('',#37201,#7976); #4877=LINE('',#37204,#7977); #4878=LINE('',#37205,#7978); #4879=LINE('',#37207,#7979); #4880=LINE('',#37212,#7980); #4881=LINE('',#37213,#7981); #4882=LINE('',#37216,#7982); #4883=LINE('',#37217,#7983); #4884=LINE('',#37219,#7984); #4885=LINE('',#37224,#7985); #4886=LINE('',#37225,#7986); #4887=LINE('',#37228,#7987); #4888=LINE('',#37229,#7988); #4889=LINE('',#37231,#7989); #4890=LINE('',#37236,#7990); #4891=LINE('',#37237,#7991); #4892=LINE('',#37240,#7992); #4893=LINE('',#37241,#7993); #4894=LINE('',#37243,#7994); #4895=LINE('',#37248,#7995); #4896=LINE('',#37249,#7996); #4897=LINE('',#37252,#7997); #4898=LINE('',#37253,#7998); #4899=LINE('',#37255,#7999); #4900=LINE('',#37260,#8000); #4901=LINE('',#37261,#8001); #4902=LINE('',#37264,#8002); #4903=LINE('',#37265,#8003); #4904=LINE('',#37267,#8004); #4905=LINE('',#37272,#8005); #4906=LINE('',#37273,#8006); #4907=LINE('',#37276,#8007); #4908=LINE('',#37277,#8008); #4909=LINE('',#37279,#8009); #4910=LINE('',#37284,#8010); #4911=LINE('',#37285,#8011); #4912=LINE('',#37288,#8012); #4913=LINE('',#37289,#8013); #4914=LINE('',#37291,#8014); #4915=LINE('',#37296,#8015); #4916=LINE('',#37297,#8016); #4917=LINE('',#37300,#8017); #4918=LINE('',#37301,#8018); #4919=LINE('',#37303,#8019); #4920=LINE('',#37308,#8020); #4921=LINE('',#37309,#8021); #4922=LINE('',#37312,#8022); #4923=LINE('',#37313,#8023); #4924=LINE('',#37315,#8024); #4925=LINE('',#37320,#8025); #4926=LINE('',#37321,#8026); #4927=LINE('',#37324,#8027); #4928=LINE('',#37325,#8028); #4929=LINE('',#37327,#8029); #4930=LINE('',#37332,#8030); #4931=LINE('',#37333,#8031); #4932=LINE('',#37336,#8032); #4933=LINE('',#37337,#8033); #4934=LINE('',#37339,#8034); #4935=LINE('',#37344,#8035); #4936=LINE('',#37345,#8036); #4937=LINE('',#37348,#8037); #4938=LINE('',#37349,#8038); #4939=LINE('',#37351,#8039); #4940=LINE('',#37356,#8040); #4941=LINE('',#37357,#8041); #4942=LINE('',#37360,#8042); #4943=LINE('',#37361,#8043); #4944=LINE('',#37363,#8044); #4945=LINE('',#37368,#8045); #4946=LINE('',#37369,#8046); #4947=LINE('',#37372,#8047); #4948=LINE('',#37373,#8048); #4949=LINE('',#37375,#8049); #4950=LINE('',#37380,#8050); #4951=LINE('',#37381,#8051); #4952=LINE('',#37384,#8052); #4953=LINE('',#37385,#8053); #4954=LINE('',#37387,#8054); #4955=LINE('',#37392,#8055); #4956=LINE('',#37393,#8056); #4957=LINE('',#37396,#8057); #4958=LINE('',#37397,#8058); #4959=LINE('',#37399,#8059); #4960=LINE('',#37404,#8060); #4961=LINE('',#37405,#8061); #4962=LINE('',#37408,#8062); #4963=LINE('',#37409,#8063); #4964=LINE('',#37411,#8064); #4965=LINE('',#37416,#8065); #4966=LINE('',#37417,#8066); #4967=LINE('',#37420,#8067); #4968=LINE('',#37421,#8068); #4969=LINE('',#37423,#8069); #4970=LINE('',#37428,#8070); #4971=LINE('',#37429,#8071); #4972=LINE('',#37432,#8072); #4973=LINE('',#37433,#8073); #4974=LINE('',#37435,#8074); #4975=LINE('',#37440,#8075); #4976=LINE('',#37441,#8076); #4977=LINE('',#37444,#8077); #4978=LINE('',#37445,#8078); #4979=LINE('',#37447,#8079); #4980=LINE('',#37452,#8080); #4981=LINE('',#37453,#8081); #4982=LINE('',#37456,#8082); #4983=LINE('',#37457,#8083); #4984=LINE('',#37459,#8084); #4985=LINE('',#37464,#8085); #4986=LINE('',#37465,#8086); #4987=LINE('',#37468,#8087); #4988=LINE('',#37469,#8088); #4989=LINE('',#37471,#8089); #4990=LINE('',#37476,#8090); #4991=LINE('',#37477,#8091); #4992=LINE('',#37480,#8092); #4993=LINE('',#37481,#8093); #4994=LINE('',#37483,#8094); #4995=LINE('',#37521,#8095); #4996=LINE('',#37523,#8096); #4997=LINE('',#37525,#8097); #4998=LINE('',#37526,#8098); #4999=LINE('',#37529,#8099); #5000=LINE('',#37531,#8100); #5001=LINE('',#37533,#8101); #5002=LINE('',#37534,#8102); #5003=LINE('',#37536,#8103); #5004=LINE('',#37537,#8104); #5005=LINE('',#37539,#8105); #5006=LINE('',#37541,#8106); #5007=LINE('',#37546,#8107); #5008=LINE('',#37547,#8108); #5009=LINE('',#37548,#8109); #5010=LINE('',#37551,#8110); #5011=LINE('',#37552,#8111); #5012=LINE('',#37555,#8112); #5013=LINE('',#37556,#8113); #5014=LINE('',#37558,#8114); #5015=LINE('',#37566,#8115); #5016=LINE('',#37569,#8116); #5017=LINE('',#37572,#8117); #5018=LINE('',#37574,#8118); #5019=LINE('',#37575,#8119); #5020=LINE('',#37578,#8120); #5021=LINE('',#37580,#8121); #5022=LINE('',#37581,#8122); #5023=LINE('',#37584,#8123); #5024=LINE('',#37586,#8124); #5025=LINE('',#37587,#8125); #5026=LINE('',#37590,#8126); #5027=LINE('',#37592,#8127); #5028=LINE('',#37593,#8128); #5029=LINE('',#37595,#8129); #5030=LINE('',#37596,#8130); #5031=LINE('',#37604,#8131); #5032=LINE('',#37607,#8132); #5033=LINE('',#37610,#8133); #5034=LINE('',#37612,#8134); #5035=LINE('',#37613,#8135); #5036=LINE('',#37616,#8136); #5037=LINE('',#37618,#8137); #5038=LINE('',#37619,#8138); #5039=LINE('',#37622,#8139); #5040=LINE('',#37624,#8140); #5041=LINE('',#37625,#8141); #5042=LINE('',#37628,#8142); #5043=LINE('',#37630,#8143); #5044=LINE('',#37631,#8144); #5045=LINE('',#37633,#8145); #5046=LINE('',#37634,#8146); #5047=LINE('',#37642,#8147); #5048=LINE('',#37645,#8148); #5049=LINE('',#37648,#8149); #5050=LINE('',#37650,#8150); #5051=LINE('',#37651,#8151); #5052=LINE('',#37654,#8152); #5053=LINE('',#37656,#8153); #5054=LINE('',#37657,#8154); #5055=LINE('',#37660,#8155); #5056=LINE('',#37662,#8156); #5057=LINE('',#37663,#8157); #5058=LINE('',#37666,#8158); #5059=LINE('',#37668,#8159); #5060=LINE('',#37669,#8160); #5061=LINE('',#37671,#8161); #5062=LINE('',#37672,#8162); #5063=LINE('',#37680,#8163); #5064=LINE('',#37683,#8164); #5065=LINE('',#37686,#8165); #5066=LINE('',#37688,#8166); #5067=LINE('',#37689,#8167); #5068=LINE('',#37692,#8168); #5069=LINE('',#37694,#8169); #5070=LINE('',#37695,#8170); #5071=LINE('',#37698,#8171); #5072=LINE('',#37700,#8172); #5073=LINE('',#37701,#8173); #5074=LINE('',#37704,#8174); #5075=LINE('',#37706,#8175); #5076=LINE('',#37707,#8176); #5077=LINE('',#37709,#8177); #5078=LINE('',#37710,#8178); #5079=LINE('',#37718,#8179); #5080=LINE('',#37721,#8180); #5081=LINE('',#37724,#8181); #5082=LINE('',#37726,#8182); #5083=LINE('',#37727,#8183); #5084=LINE('',#37730,#8184); #5085=LINE('',#37732,#8185); #5086=LINE('',#37733,#8186); #5087=LINE('',#37736,#8187); #5088=LINE('',#37738,#8188); #5089=LINE('',#37739,#8189); #5090=LINE('',#37742,#8190); #5091=LINE('',#37744,#8191); #5092=LINE('',#37745,#8192); #5093=LINE('',#37747,#8193); #5094=LINE('',#37748,#8194); #5095=LINE('',#37756,#8195); #5096=LINE('',#37759,#8196); #5097=LINE('',#37762,#8197); #5098=LINE('',#37764,#8198); #5099=LINE('',#37765,#8199); #5100=LINE('',#37768,#8200); #5101=LINE('',#37770,#8201); #5102=LINE('',#37771,#8202); #5103=LINE('',#37774,#8203); #5104=LINE('',#37776,#8204); #5105=LINE('',#37777,#8205); #5106=LINE('',#37780,#8206); #5107=LINE('',#37782,#8207); #5108=LINE('',#37783,#8208); #5109=LINE('',#37785,#8209); #5110=LINE('',#37786,#8210); #5111=LINE('',#37794,#8211); #5112=LINE('',#37797,#8212); #5113=LINE('',#37800,#8213); #5114=LINE('',#37802,#8214); #5115=LINE('',#37803,#8215); #5116=LINE('',#37806,#8216); #5117=LINE('',#37808,#8217); #5118=LINE('',#37809,#8218); #5119=LINE('',#37812,#8219); #5120=LINE('',#37814,#8220); #5121=LINE('',#37815,#8221); #5122=LINE('',#37818,#8222); #5123=LINE('',#37820,#8223); #5124=LINE('',#37821,#8224); #5125=LINE('',#37823,#8225); #5126=LINE('',#37824,#8226); #5127=LINE('',#37832,#8227); #5128=LINE('',#37835,#8228); #5129=LINE('',#37838,#8229); #5130=LINE('',#37840,#8230); #5131=LINE('',#37841,#8231); #5132=LINE('',#37844,#8232); #5133=LINE('',#37846,#8233); #5134=LINE('',#37847,#8234); #5135=LINE('',#37850,#8235); #5136=LINE('',#37852,#8236); #5137=LINE('',#37853,#8237); #5138=LINE('',#37856,#8238); #5139=LINE('',#37858,#8239); #5140=LINE('',#37859,#8240); #5141=LINE('',#37861,#8241); #5142=LINE('',#37862,#8242); #5143=LINE('',#37869,#8243); #5144=LINE('',#37871,#8244); #5145=LINE('',#37873,#8245); #5146=LINE('',#37874,#8246); #5147=LINE('',#37879,#8247); #5148=LINE('',#37883,#8248); #5149=LINE('',#37885,#8249); #5150=LINE('',#37886,#8250); #5151=LINE('',#37891,#8251); #5152=LINE('',#37897,#8252); #5153=LINE('',#37901,#8253); #5154=LINE('',#37903,#8254); #5155=LINE('',#37904,#8255); #5156=LINE('',#37909,#8256); #5157=LINE('',#37915,#8257); #5158=LINE('',#37921,#8258); #5159=LINE('',#37927,#8259); #5160=LINE('',#37930,#8260); #5161=LINE('',#37931,#8261); #5162=LINE('',#37937,#8262); #5163=LINE('',#37940,#8263); #5164=LINE('',#37945,#8264); #5165=LINE('',#37949,#8265); #5166=LINE('',#37951,#8266); #5167=LINE('',#37952,#8267); #5168=LINE('',#37957,#8268); #5169=LINE('',#37961,#8269); #5170=LINE('',#37963,#8270); #5171=LINE('',#37964,#8271); #5172=LINE('',#37967,#8272); #5173=LINE('',#37969,#8273); #5174=LINE('',#37970,#8274); #5175=LINE('',#37975,#8275); #5176=LINE('',#37981,#8276); #5177=LINE('',#37987,#8277); #5178=LINE('',#37993,#8278); #5179=LINE('',#37996,#8279); #5180=LINE('',#37997,#8280); #5181=LINE('',#38004,#8281); #5182=LINE('',#38007,#8282); #5183=LINE('',#38010,#8283); #5184=LINE('',#38012,#8284); #5185=LINE('',#38013,#8285); #5186=LINE('',#38016,#8286); #5187=LINE('',#38018,#8287); #5188=LINE('',#38019,#8288); #5189=LINE('',#38022,#8289); #5190=LINE('',#38024,#8290); #5191=LINE('',#38025,#8291); #5192=LINE('',#38028,#8292); #5193=LINE('',#38030,#8293); #5194=LINE('',#38031,#8294); #5195=LINE('',#38034,#8295); #5196=LINE('',#38036,#8296); #5197=LINE('',#38037,#8297); #5198=LINE('',#38040,#8298); #5199=LINE('',#38042,#8299); #5200=LINE('',#38043,#8300); #5201=LINE('',#38046,#8301); #5202=LINE('',#38048,#8302); #5203=LINE('',#38049,#8303); #5204=LINE('',#38052,#8304); #5205=LINE('',#38054,#8305); #5206=LINE('',#38055,#8306); #5207=LINE('',#38060,#8307); #5208=LINE('',#38064,#8308); #5209=LINE('',#38066,#8309); #5210=LINE('',#38067,#8310); #5211=LINE('',#38070,#8311); #5212=LINE('',#38072,#8312); #5213=LINE('',#38073,#8313); #5214=LINE('',#38078,#8314); #5215=LINE('',#38084,#8315); #5216=LINE('',#38088,#8316); #5217=LINE('',#38090,#8317); #5218=LINE('',#38091,#8318); #5219=LINE('',#38096,#8319); #5220=LINE('',#38100,#8320); #5221=LINE('',#38102,#8321); #5222=LINE('',#38103,#8322); #5223=LINE('',#38106,#8323); #5224=LINE('',#38108,#8324); #5225=LINE('',#38109,#8325); #5226=LINE('',#38112,#8326); #5227=LINE('',#38114,#8327); #5228=LINE('',#38115,#8328); #5229=LINE('',#38118,#8329); #5230=LINE('',#38120,#8330); #5231=LINE('',#38121,#8331); #5232=LINE('',#38124,#8332); #5233=LINE('',#38126,#8333); #5234=LINE('',#38127,#8334); #5235=LINE('',#38130,#8335); #5236=LINE('',#38132,#8336); #5237=LINE('',#38133,#8337); #5238=LINE('',#38136,#8338); #5239=LINE('',#38138,#8339); #5240=LINE('',#38139,#8340); #5241=LINE('',#38142,#8341); #5242=LINE('',#38144,#8342); #5243=LINE('',#38145,#8343); #5244=LINE('',#38148,#8344); #5245=LINE('',#38150,#8345); #5246=LINE('',#38151,#8346); #5247=LINE('',#38156,#8347); #5248=LINE('',#38160,#8348); #5249=LINE('',#38162,#8349); #5250=LINE('',#38163,#8350); #5251=LINE('',#38166,#8351); #5252=LINE('',#38168,#8352); #5253=LINE('',#38169,#8353); #5254=LINE('',#38172,#8354); #5255=LINE('',#38174,#8355); #5256=LINE('',#38175,#8356); #5257=LINE('',#38178,#8357); #5258=LINE('',#38180,#8358); #5259=LINE('',#38181,#8359); #5260=LINE('',#38183,#8360); #5261=LINE('',#38184,#8361); #5262=LINE('',#38189,#8362); #5263=LINE('',#38191,#8363); #5264=LINE('',#38193,#8364); #5265=LINE('',#38194,#8365); #5266=LINE('',#38197,#8366); #5267=LINE('',#38199,#8367); #5268=LINE('',#38200,#8368); #5269=LINE('',#38203,#8369); #5270=LINE('',#38205,#8370); #5271=LINE('',#38206,#8371); #5272=LINE('',#38209,#8372); #5273=LINE('',#38211,#8373); #5274=LINE('',#38212,#8374); #5275=LINE('',#38215,#8375); #5276=LINE('',#38217,#8376); #5277=LINE('',#38218,#8377); #5278=LINE('',#38221,#8378); #5279=LINE('',#38223,#8379); #5280=LINE('',#38224,#8380); #5281=LINE('',#38227,#8381); #5282=LINE('',#38229,#8382); #5283=LINE('',#38230,#8383); #5284=LINE('',#38235,#8384); #5285=LINE('',#38241,#8385); #5286=LINE('',#38245,#8386); #5287=LINE('',#38247,#8387); #5288=LINE('',#38248,#8388); #5289=LINE('',#38253,#8389); #5290=LINE('',#38259,#8390); #5291=LINE('',#38265,#8391); #5292=LINE('',#38269,#8392); #5293=LINE('',#38271,#8393); #5294=LINE('',#38272,#8394); #5295=LINE('',#38275,#8395); #5296=LINE('',#38277,#8396); #5297=LINE('',#38278,#8397); #5298=LINE('',#38281,#8398); #5299=LINE('',#38283,#8399); #5300=LINE('',#38284,#8400); #5301=LINE('',#38287,#8401); #5302=LINE('',#38289,#8402); #5303=LINE('',#38290,#8403); #5304=LINE('',#38293,#8404); #5305=LINE('',#38295,#8405); #5306=LINE('',#38296,#8406); #5307=LINE('',#38301,#8407); #5308=LINE('',#38305,#8408); #5309=LINE('',#38307,#8409); #5310=LINE('',#38308,#8410); #5311=LINE('',#38313,#8411); #5312=LINE('',#38319,#8412); #5313=LINE('',#38325,#8413); #5314=LINE('',#38329,#8414); #5315=LINE('',#38331,#8415); #5316=LINE('',#38332,#8416); #5317=LINE('',#38337,#8417); #5318=LINE('',#38341,#8418); #5319=LINE('',#38343,#8419); #5320=LINE('',#38344,#8420); #5321=LINE('',#38347,#8421); #5322=LINE('',#38349,#8422); #5323=LINE('',#38350,#8423); #5324=LINE('',#38355,#8424); #5325=LINE('',#38359,#8425); #5326=LINE('',#38361,#8426); #5327=LINE('',#38362,#8427); #5328=LINE('',#38365,#8428); #5329=LINE('',#38367,#8429); #5330=LINE('',#38368,#8430); #5331=LINE('',#38371,#8431); #5332=LINE('',#38373,#8432); #5333=LINE('',#38374,#8433); #5334=LINE('',#38377,#8434); #5335=LINE('',#38379,#8435); #5336=LINE('',#38380,#8436); #5337=LINE('',#38382,#8437); #5338=LINE('',#38383,#8438); #5339=LINE('',#38388,#8439); #5340=LINE('',#38390,#8440); #5341=LINE('',#38392,#8441); #5342=LINE('',#38393,#8442); #5343=LINE('',#38398,#8443); #5344=LINE('',#38404,#8444); #5345=LINE('',#38408,#8445); #5346=LINE('',#38410,#8446); #5347=LINE('',#38411,#8447); #5348=LINE('',#38414,#8448); #5349=LINE('',#38416,#8449); #5350=LINE('',#38417,#8450); #5351=LINE('',#38422,#8451); #5352=LINE('',#38428,#8452); #5353=LINE('',#38432,#8453); #5354=LINE('',#38434,#8454); #5355=LINE('',#38435,#8455); #5356=LINE('',#38440,#8456); #5357=LINE('',#38446,#8457); #5358=LINE('',#38450,#8458); #5359=LINE('',#38452,#8459); #5360=LINE('',#38453,#8460); #5361=LINE('',#38456,#8461); #5362=LINE('',#38458,#8462); #5363=LINE('',#38459,#8463); #5364=LINE('',#38464,#8464); #5365=LINE('',#38470,#8465); #5366=LINE('',#38474,#8466); #5367=LINE('',#38476,#8467); #5368=LINE('',#38477,#8468); #5369=LINE('',#38480,#8469); #5370=LINE('',#38482,#8470); #5371=LINE('',#38483,#8471); #5372=LINE('',#38486,#8472); #5373=LINE('',#38488,#8473); #5374=LINE('',#38489,#8474); #5375=LINE('',#38494,#8475); #5376=LINE('',#38498,#8476); #5377=LINE('',#38500,#8477); #5378=LINE('',#38501,#8478); #5379=LINE('',#38504,#8479); #5380=LINE('',#38506,#8480); #5381=LINE('',#38507,#8481); #5382=LINE('',#38510,#8482); #5383=LINE('',#38512,#8483); #5384=LINE('',#38513,#8484); #5385=LINE('',#38516,#8485); #5386=LINE('',#38518,#8486); #5387=LINE('',#38519,#8487); #5388=LINE('',#38522,#8488); #5389=LINE('',#38524,#8489); #5390=LINE('',#38525,#8490); #5391=LINE('',#38530,#8491); #5392=LINE('',#38534,#8492); #5393=LINE('',#38536,#8493); #5394=LINE('',#38537,#8494); #5395=LINE('',#38540,#8495); #5396=LINE('',#38542,#8496); #5397=LINE('',#38543,#8497); #5398=LINE('',#38546,#8498); #5399=LINE('',#38548,#8499); #5400=LINE('',#38549,#8500); #5401=LINE('',#38552,#8501); #5402=LINE('',#38554,#8502); #5403=LINE('',#38555,#8503); #5404=LINE('',#38558,#8504); #5405=LINE('',#38560,#8505); #5406=LINE('',#38561,#8506); #5407=LINE('',#38564,#8507); #5408=LINE('',#38566,#8508); #5409=LINE('',#38567,#8509); #5410=LINE('',#38570,#8510); #5411=LINE('',#38572,#8511); #5412=LINE('',#38573,#8512); #5413=LINE('',#38576,#8513); #5414=LINE('',#38578,#8514); #5415=LINE('',#38579,#8515); #5416=LINE('',#38582,#8516); #5417=LINE('',#38584,#8517); #5418=LINE('',#38585,#8518); #5419=LINE('',#38588,#8519); #5420=LINE('',#38590,#8520); #5421=LINE('',#38591,#8521); #5422=LINE('',#38594,#8522); #5423=LINE('',#38596,#8523); #5424=LINE('',#38597,#8524); #5425=LINE('',#38600,#8525); #5426=LINE('',#38602,#8526); #5427=LINE('',#38603,#8527); #5428=LINE('',#38608,#8528); #5429=LINE('',#38614,#8529); #5430=LINE('',#38618,#8530); #5431=LINE('',#38620,#8531); #5432=LINE('',#38621,#8532); #5433=LINE('',#38624,#8533); #5434=LINE('',#38626,#8534); #5435=LINE('',#38627,#8535); #5436=LINE('',#38630,#8536); #5437=LINE('',#38632,#8537); #5438=LINE('',#38633,#8538); #5439=LINE('',#38636,#8539); #5440=LINE('',#38638,#8540); #5441=LINE('',#38639,#8541); #5442=LINE('',#38644,#8542); #5443=LINE('',#38648,#8543); #5444=LINE('',#38650,#8544); #5445=LINE('',#38651,#8545); #5446=LINE('',#38654,#8546); #5447=LINE('',#38656,#8547); #5448=LINE('',#38657,#8548); #5449=LINE('',#38662,#8549); #5450=LINE('',#38666,#8550); #5451=LINE('',#38668,#8551); #5452=LINE('',#38669,#8552); #5453=LINE('',#38672,#8553); #5454=LINE('',#38674,#8554); #5455=LINE('',#38675,#8555); #5456=LINE('',#38680,#8556); #5457=LINE('',#38686,#8557); #5458=LINE('',#38690,#8558); #5459=LINE('',#38692,#8559); #5460=LINE('',#38693,#8560); #5461=LINE('',#38696,#8561); #5462=LINE('',#38698,#8562); #5463=LINE('',#38699,#8563); #5464=LINE('',#38704,#8564); #5465=LINE('',#38708,#8565); #5466=LINE('',#38710,#8566); #5467=LINE('',#38711,#8567); #5468=LINE('',#38714,#8568); #5469=LINE('',#38716,#8569); #5470=LINE('',#38717,#8570); #5471=LINE('',#38720,#8571); #5472=LINE('',#38722,#8572); #5473=LINE('',#38723,#8573); #5474=LINE('',#38726,#8574); #5475=LINE('',#38728,#8575); #5476=LINE('',#38729,#8576); #5477=LINE('',#38732,#8577); #5478=LINE('',#38734,#8578); #5479=LINE('',#38735,#8579); #5480=LINE('',#38738,#8580); #5481=LINE('',#38740,#8581); #5482=LINE('',#38741,#8582); #5483=LINE('',#38744,#8583); #5484=LINE('',#38746,#8584); #5485=LINE('',#38747,#8585); #5486=LINE('',#38752,#8586); #5487=LINE('',#38756,#8587); #5488=LINE('',#38758,#8588); #5489=LINE('',#38759,#8589); #5490=LINE('',#38762,#8590); #5491=LINE('',#38764,#8591); #5492=LINE('',#38765,#8592); #5493=LINE('',#38768,#8593); #5494=LINE('',#38770,#8594); #5495=LINE('',#38771,#8595); #5496=LINE('',#38776,#8596); #5497=LINE('',#38780,#8597); #5498=LINE('',#38782,#8598); #5499=LINE('',#38783,#8599); #5500=LINE('',#38786,#8600); #5501=LINE('',#38788,#8601); #5502=LINE('',#38789,#8602); #5503=LINE('',#38792,#8603); #5504=LINE('',#38794,#8604); #5505=LINE('',#38795,#8605); #5506=LINE('',#38798,#8606); #5507=LINE('',#38800,#8607); #5508=LINE('',#38801,#8608); #5509=LINE('',#38806,#8609); #5510=LINE('',#38810,#8610); #5511=LINE('',#38812,#8611); #5512=LINE('',#38813,#8612); #5513=LINE('',#38816,#8613); #5514=LINE('',#38818,#8614); #5515=LINE('',#38819,#8615); #5516=LINE('',#38822,#8616); #5517=LINE('',#38824,#8617); #5518=LINE('',#38825,#8618); #5519=LINE('',#38828,#8619); #5520=LINE('',#38830,#8620); #5521=LINE('',#38831,#8621); #5522=LINE('',#38836,#8622); #5523=LINE('',#38840,#8623); #5524=LINE('',#38842,#8624); #5525=LINE('',#38843,#8625); #5526=LINE('',#38846,#8626); #5527=LINE('',#38848,#8627); #5528=LINE('',#38849,#8628); #5529=LINE('',#38852,#8629); #5530=LINE('',#38854,#8630); #5531=LINE('',#38855,#8631); #5532=LINE('',#38860,#8632); #5533=LINE('',#38864,#8633); #5534=LINE('',#38866,#8634); #5535=LINE('',#38867,#8635); #5536=LINE('',#38870,#8636); #5537=LINE('',#38872,#8637); #5538=LINE('',#38873,#8638); #5539=LINE('',#38878,#8639); #5540=LINE('',#38882,#8640); #5541=LINE('',#38884,#8641); #5542=LINE('',#38885,#8642); #5543=LINE('',#38887,#8643); #5544=LINE('',#38888,#8644); #5545=LINE('',#38895,#8645); #5546=LINE('',#38898,#8646); #5547=LINE('',#38903,#8647); #5548=LINE('',#38907,#8648); #5549=LINE('',#38909,#8649); #5550=LINE('',#38910,#8650); #5551=LINE('',#38915,#8651); #5552=LINE('',#38921,#8652); #5553=LINE('',#38927,#8653); #5554=LINE('',#38933,#8654); #5555=LINE('',#38939,#8655); #5556=LINE('',#38945,#8656); #5557=LINE('',#38951,#8657); #5558=LINE('',#38955,#8658); #5559=LINE('',#38957,#8659); #5560=LINE('',#38958,#8660); #5561=LINE('',#38963,#8661); #5562=LINE('',#38967,#8662); #5563=LINE('',#38969,#8663); #5564=LINE('',#38970,#8664); #5565=LINE('',#38973,#8665); #5566=LINE('',#38975,#8666); #5567=LINE('',#38976,#8667); #5568=LINE('',#38979,#8668); #5569=LINE('',#38981,#8669); #5570=LINE('',#38982,#8670); #5571=LINE('',#38987,#8671); #5572=LINE('',#38991,#8672); #5573=LINE('',#38993,#8673); #5574=LINE('',#38994,#8674); #5575=LINE('',#38997,#8675); #5576=LINE('',#38999,#8676); #5577=LINE('',#39000,#8677); #5578=LINE('',#39003,#8678); #5579=LINE('',#39005,#8679); #5580=LINE('',#39006,#8680); #5581=LINE('',#39009,#8681); #5582=LINE('',#39011,#8682); #5583=LINE('',#39012,#8683); #5584=LINE('',#39015,#8684); #5585=LINE('',#39017,#8685); #5586=LINE('',#39018,#8686); #5587=LINE('',#39023,#8687); #5588=LINE('',#39029,#8688); #5589=LINE('',#39035,#8689); #5590=LINE('',#39041,#8690); #5591=LINE('',#39047,#8691); #5592=LINE('',#39053,#8692); #5593=LINE('',#39059,#8693); #5594=LINE('',#39063,#8694); #5595=LINE('',#39065,#8695); #5596=LINE('',#39066,#8696); #5597=LINE('',#39071,#8697); #5598=LINE('',#39077,#8698); #5599=LINE('',#39083,#8699); #5600=LINE('',#39089,#8700); #5601=LINE('',#39095,#8701); #5602=LINE('',#39101,#8702); #5603=LINE('',#39107,#8703); #5604=LINE('',#39111,#8704); #5605=LINE('',#39113,#8705); #5606=LINE('',#39114,#8706); #5607=LINE('',#39119,#8707); #5608=LINE('',#39123,#8708); #5609=LINE('',#39125,#8709); #5610=LINE('',#39126,#8710); #5611=LINE('',#39129,#8711); #5612=LINE('',#39131,#8712); #5613=LINE('',#39132,#8713); #5614=LINE('',#39135,#8714); #5615=LINE('',#39137,#8715); #5616=LINE('',#39138,#8716); #5617=LINE('',#39141,#8717); #5618=LINE('',#39143,#8718); #5619=LINE('',#39144,#8719); #5620=LINE('',#39149,#8720); #5621=LINE('',#39153,#8721); #5622=LINE('',#39155,#8722); #5623=LINE('',#39156,#8723); #5624=LINE('',#39159,#8724); #5625=LINE('',#39161,#8725); #5626=LINE('',#39162,#8726); #5627=LINE('',#39165,#8727); #5628=LINE('',#39167,#8728); #5629=LINE('',#39168,#8729); #5630=LINE('',#39171,#8730); #5631=LINE('',#39173,#8731); #5632=LINE('',#39174,#8732); #5633=LINE('',#39179,#8733); #5634=LINE('',#39185,#8734); #5635=LINE('',#39191,#8735); #5636=LINE('',#39195,#8736); #5637=LINE('',#39197,#8737); #5638=LINE('',#39198,#8738); #5639=LINE('',#39203,#8739); #5640=LINE('',#39209,#8740); #5641=LINE('',#39218,#8741); #5642=LINE('',#39220,#8742); #5643=LINE('',#39222,#8743); #5644=LINE('',#39223,#8744); #5645=LINE('',#39226,#8745); #5646=LINE('',#39228,#8746); #5647=LINE('',#39229,#8747); #5648=LINE('',#39234,#8748); #5649=LINE('',#39238,#8749); #5650=LINE('',#39240,#8750); #5651=LINE('',#39241,#8751); #5652=LINE('',#39244,#8752); #5653=LINE('',#39246,#8753); #5654=LINE('',#39247,#8754); #5655=LINE('',#39250,#8755); #5656=LINE('',#39252,#8756); #5657=LINE('',#39253,#8757); #5658=LINE('',#39256,#8758); #5659=LINE('',#39258,#8759); #5660=LINE('',#39259,#8760); #5661=LINE('',#39262,#8761); #5662=LINE('',#39264,#8762); #5663=LINE('',#39265,#8763); #5664=LINE('',#39268,#8764); #5665=LINE('',#39270,#8765); #5666=LINE('',#39271,#8766); #5667=LINE('',#39274,#8767); #5668=LINE('',#39276,#8768); #5669=LINE('',#39277,#8769); #5670=LINE('',#39282,#8770); #5671=LINE('',#39286,#8771); #5672=LINE('',#39288,#8772); #5673=LINE('',#39289,#8773); #5674=LINE('',#39292,#8774); #5675=LINE('',#39294,#8775); #5676=LINE('',#39295,#8776); #5677=LINE('',#39298,#8777); #5678=LINE('',#39300,#8778); #5679=LINE('',#39301,#8779); #5680=LINE('',#39304,#8780); #5681=LINE('',#39306,#8781); #5682=LINE('',#39307,#8782); #5683=LINE('',#39310,#8783); #5684=LINE('',#39312,#8784); #5685=LINE('',#39313,#8785); #5686=LINE('',#39318,#8786); #5687=LINE('',#39322,#8787); #5688=LINE('',#39324,#8788); #5689=LINE('',#39325,#8789); #5690=LINE('',#39328,#8790); #5691=LINE('',#39330,#8791); #5692=LINE('',#39331,#8792); #5693=LINE('',#39334,#8793); #5694=LINE('',#39336,#8794); #5695=LINE('',#39337,#8795); #5696=LINE('',#39340,#8796); #5697=LINE('',#39342,#8797); #5698=LINE('',#39343,#8798); #5699=LINE('',#39348,#8799); #5700=LINE('',#39352,#8800); #5701=LINE('',#39354,#8801); #5702=LINE('',#39355,#8802); #5703=LINE('',#39358,#8803); #5704=LINE('',#39360,#8804); #5705=LINE('',#39361,#8805); #5706=LINE('',#39364,#8806); #5707=LINE('',#39366,#8807); #5708=LINE('',#39367,#8808); #5709=LINE('',#39370,#8809); #5710=LINE('',#39372,#8810); #5711=LINE('',#39373,#8811); #5712=LINE('',#39376,#8812); #5713=LINE('',#39378,#8813); #5714=LINE('',#39379,#8814); #5715=LINE('',#39382,#8815); #5716=LINE('',#39384,#8816); #5717=LINE('',#39385,#8817); #5718=LINE('',#39388,#8818); #5719=LINE('',#39390,#8819); #5720=LINE('',#39391,#8820); #5721=LINE('',#39394,#8821); #5722=LINE('',#39396,#8822); #5723=LINE('',#39397,#8823); #5724=LINE('',#39400,#8824); #5725=LINE('',#39402,#8825); #5726=LINE('',#39403,#8826); #5727=LINE('',#39406,#8827); #5728=LINE('',#39408,#8828); #5729=LINE('',#39409,#8829); #5730=LINE('',#39414,#8830); #5731=LINE('',#39418,#8831); #5732=LINE('',#39420,#8832); #5733=LINE('',#39421,#8833); #5734=LINE('',#39424,#8834); #5735=LINE('',#39426,#8835); #5736=LINE('',#39427,#8836); #5737=LINE('',#39430,#8837); #5738=LINE('',#39432,#8838); #5739=LINE('',#39433,#8839); #5740=LINE('',#39436,#8840); #5741=LINE('',#39438,#8841); #5742=LINE('',#39439,#8842); #5743=LINE('',#39442,#8843); #5744=LINE('',#39444,#8844); #5745=LINE('',#39445,#8845); #5746=LINE('',#39448,#8846); #5747=LINE('',#39450,#8847); #5748=LINE('',#39451,#8848); #5749=LINE('',#39454,#8849); #5750=LINE('',#39456,#8850); #5751=LINE('',#39457,#8851); #5752=LINE('',#39460,#8852); #5753=LINE('',#39462,#8853); #5754=LINE('',#39463,#8854); #5755=LINE('',#39466,#8855); #5756=LINE('',#39468,#8856); #5757=LINE('',#39469,#8857); #5758=LINE('',#39474,#8858); #5759=LINE('',#39477,#8859); #5760=LINE('',#39478,#8860); #5761=LINE('',#39483,#8861); #5762=LINE('',#39485,#8862); #5763=LINE('',#39487,#8863); #5764=LINE('',#39488,#8864); #5765=LINE('',#39491,#8865); #5766=LINE('',#39493,#8866); #5767=LINE('',#39494,#8867); #5768=LINE('',#39499,#8868); #5769=LINE('',#39503,#8869); #5770=LINE('',#39505,#8870); #5771=LINE('',#39506,#8871); #5772=LINE('',#39509,#8872); #5773=LINE('',#39511,#8873); #5774=LINE('',#39512,#8874); #5775=LINE('',#39515,#8875); #5776=LINE('',#39517,#8876); #5777=LINE('',#39518,#8877); #5778=LINE('',#39521,#8878); #5779=LINE('',#39523,#8879); #5780=LINE('',#39524,#8880); #5781=LINE('',#39527,#8881); #5782=LINE('',#39529,#8882); #5783=LINE('',#39530,#8883); #5784=LINE('',#39533,#8884); #5785=LINE('',#39535,#8885); #5786=LINE('',#39536,#8886); #5787=LINE('',#39539,#8887); #5788=LINE('',#39541,#8888); #5789=LINE('',#39542,#8889); #5790=LINE('',#39545,#8890); #5791=LINE('',#39547,#8891); #5792=LINE('',#39548,#8892); #5793=LINE('',#39551,#8893); #5794=LINE('',#39553,#8894); #5795=LINE('',#39554,#8895); #5796=LINE('',#39557,#8896); #5797=LINE('',#39559,#8897); #5798=LINE('',#39560,#8898); #5799=LINE('',#39563,#8899); #5800=LINE('',#39565,#8900); #5801=LINE('',#39566,#8901); #5802=LINE('',#39569,#8902); #5803=LINE('',#39571,#8903); #5804=LINE('',#39572,#8904); #5805=LINE('',#39575,#8905); #5806=LINE('',#39577,#8906); #5807=LINE('',#39578,#8907); #5808=LINE('',#39581,#8908); #5809=LINE('',#39583,#8909); #5810=LINE('',#39584,#8910); #5811=LINE('',#39587,#8911); #5812=LINE('',#39589,#8912); #5813=LINE('',#39590,#8913); #5814=LINE('',#39593,#8914); #5815=LINE('',#39595,#8915); #5816=LINE('',#39596,#8916); #5817=LINE('',#39599,#8917); #5818=LINE('',#39601,#8918); #5819=LINE('',#39602,#8919); #5820=LINE('',#39607,#8920); #5821=LINE('',#39611,#8921); #5822=LINE('',#39613,#8922); #5823=LINE('',#39614,#8923); #5824=LINE('',#39617,#8924); #5825=LINE('',#39619,#8925); #5826=LINE('',#39620,#8926); #5827=LINE('',#39623,#8927); #5828=LINE('',#39625,#8928); #5829=LINE('',#39626,#8929); #5830=LINE('',#39629,#8930); #5831=LINE('',#39631,#8931); #5832=LINE('',#39632,#8932); #5833=LINE('',#39635,#8933); #5834=LINE('',#39637,#8934); #5835=LINE('',#39638,#8935); #5836=LINE('',#39641,#8936); #5837=LINE('',#39643,#8937); #5838=LINE('',#39644,#8938); #5839=LINE('',#39649,#8939); #5840=LINE('',#39653,#8940); #5841=LINE('',#39655,#8941); #5842=LINE('',#39656,#8942); #5843=LINE('',#39659,#8943); #5844=LINE('',#39661,#8944); #5845=LINE('',#39662,#8945); #5846=LINE('',#39665,#8946); #5847=LINE('',#39667,#8947); #5848=LINE('',#39668,#8948); #5849=LINE('',#39671,#8949); #5850=LINE('',#39673,#8950); #5851=LINE('',#39674,#8951); #5852=LINE('',#39677,#8952); #5853=LINE('',#39679,#8953); #5854=LINE('',#39680,#8954); #5855=LINE('',#39683,#8955); #5856=LINE('',#39685,#8956); #5857=LINE('',#39686,#8957); #5858=LINE('',#39689,#8958); #5859=LINE('',#39691,#8959); #5860=LINE('',#39692,#8960); #5861=LINE('',#39695,#8961); #5862=LINE('',#39697,#8962); #5863=LINE('',#39698,#8963); #5864=LINE('',#39701,#8964); #5865=LINE('',#39703,#8965); #5866=LINE('',#39704,#8966); #5867=LINE('',#39707,#8967); #5868=LINE('',#39709,#8968); #5869=LINE('',#39710,#8969); #5870=LINE('',#39713,#8970); #5871=LINE('',#39715,#8971); #5872=LINE('',#39716,#8972); #5873=LINE('',#39719,#8973); #5874=LINE('',#39721,#8974); #5875=LINE('',#39722,#8975); #5876=LINE('',#39725,#8976); #5877=LINE('',#39727,#8977); #5878=LINE('',#39728,#8978); #5879=LINE('',#39731,#8979); #5880=LINE('',#39733,#8980); #5881=LINE('',#39734,#8981); #5882=LINE('',#39737,#8982); #5883=LINE('',#39739,#8983); #5884=LINE('',#39740,#8984); #5885=LINE('',#39743,#8985); #5886=LINE('',#39745,#8986); #5887=LINE('',#39746,#8987); #5888=LINE('',#39751,#8988); #5889=LINE('',#39755,#8989); #5890=LINE('',#39757,#8990); #5891=LINE('',#39758,#8991); #5892=LINE('',#39761,#8992); #5893=LINE('',#39763,#8993); #5894=LINE('',#39764,#8994); #5895=LINE('',#39767,#8995); #5896=LINE('',#39769,#8996); #5897=LINE('',#39770,#8997); #5898=LINE('',#39773,#8998); #5899=LINE('',#39775,#8999); #5900=LINE('',#39776,#9000); #5901=LINE('',#39779,#9001); #5902=LINE('',#39781,#9002); #5903=LINE('',#39782,#9003); #5904=LINE('',#39785,#9004); #5905=LINE('',#39787,#9005); #5906=LINE('',#39788,#9006); #5907=LINE('',#39793,#9007); #5908=LINE('',#39799,#9008); #5909=LINE('',#39802,#9009); #5910=LINE('',#39803,#9010); #5911=LINE('',#39808,#9011); #5912=LINE('',#39810,#9012); #5913=LINE('',#39812,#9013); #5914=LINE('',#39813,#9014); #5915=LINE('',#39816,#9015); #5916=LINE('',#39818,#9016); #5917=LINE('',#39819,#9017); #5918=LINE('',#39822,#9018); #5919=LINE('',#39824,#9019); #5920=LINE('',#39825,#9020); #5921=LINE('',#39830,#9021); #5922=LINE('',#39834,#9022); #5923=LINE('',#39836,#9023); #5924=LINE('',#39837,#9024); #5925=LINE('',#39840,#9025); #5926=LINE('',#39842,#9026); #5927=LINE('',#39843,#9027); #5928=LINE('',#39848,#9028); #5929=LINE('',#39852,#9029); #5930=LINE('',#39854,#9030); #5931=LINE('',#39855,#9031); #5932=LINE('',#39858,#9032); #5933=LINE('',#39860,#9033); #5934=LINE('',#39861,#9034); #5935=LINE('',#39866,#9035); #5936=LINE('',#39872,#9036); #5937=LINE('',#39876,#9037); #5938=LINE('',#39878,#9038); #5939=LINE('',#39879,#9039); #5940=LINE('',#39882,#9040); #5941=LINE('',#39884,#9041); #5942=LINE('',#39885,#9042); #5943=LINE('',#39890,#9043); #5944=LINE('',#39896,#9044); #5945=LINE('',#39900,#9045); #5946=LINE('',#39902,#9046); #5947=LINE('',#39903,#9047); #5948=LINE('',#39906,#9048); #5949=LINE('',#39908,#9049); #5950=LINE('',#39909,#9050); #5951=LINE('',#39912,#9051); #5952=LINE('',#39914,#9052); #5953=LINE('',#39915,#9053); #5954=LINE('',#39918,#9054); #5955=LINE('',#39920,#9055); #5956=LINE('',#39921,#9056); #5957=LINE('',#39926,#9057); #5958=LINE('',#39932,#9058); #5959=LINE('',#39936,#9059); #5960=LINE('',#39938,#9060); #5961=LINE('',#39939,#9061); #5962=LINE('',#39942,#9062); #5963=LINE('',#39944,#9063); #5964=LINE('',#39945,#9064); #5965=LINE('',#39950,#9065); #5966=LINE('',#39954,#9066); #5967=LINE('',#39956,#9067); #5968=LINE('',#39957,#9068); #5969=LINE('',#39962,#9069); #5970=LINE('',#39968,#9070); #5971=LINE('',#39972,#9071); #5972=LINE('',#39974,#9072); #5973=LINE('',#39975,#9073); #5974=LINE('',#39978,#9074); #5975=LINE('',#39980,#9075); #5976=LINE('',#39981,#9076); #5977=LINE('',#39986,#9077); #5978=LINE('',#39992,#9078); #5979=LINE('',#39998,#9079); #5980=LINE('',#40002,#9080); #5981=LINE('',#40004,#9081); #5982=LINE('',#40005,#9082); #5983=LINE('',#40010,#9083); #5984=LINE('',#40014,#9084); #5985=LINE('',#40016,#9085); #5986=LINE('',#40017,#9086); #5987=LINE('',#40020,#9087); #5988=LINE('',#40022,#9088); #5989=LINE('',#40023,#9089); #5990=LINE('',#40026,#9090); #5991=LINE('',#40028,#9091); #5992=LINE('',#40029,#9092); #5993=LINE('',#40034,#9093); #5994=LINE('',#40038,#9094); #5995=LINE('',#40040,#9095); #5996=LINE('',#40041,#9096); #5997=LINE('',#40044,#9097); #5998=LINE('',#40046,#9098); #5999=LINE('',#40047,#9099); #6000=LINE('',#40050,#9100); #6001=LINE('',#40052,#9101); #6002=LINE('',#40053,#9102); #6003=LINE('',#40063,#9103); #6004=LINE('',#40066,#9104); #6005=LINE('',#40071,#9105); #6006=LINE('',#40077,#9106); #6007=LINE('',#40083,#9107); #6008=LINE('',#40087,#9108); #6009=LINE('',#40089,#9109); #6010=LINE('',#40090,#9110); #6011=LINE('',#40095,#9111); #6012=LINE('',#40101,#9112); #6013=LINE('',#40107,#9113); #6014=LINE('',#40117,#9114); #6015=LINE('',#40120,#9115); #6016=LINE('',#40125,#9116); #6017=LINE('',#40131,#9117); #6018=LINE('',#40137,#9118); #6019=LINE('',#40141,#9119); #6020=LINE('',#40143,#9120); #6021=LINE('',#40144,#9121); #6022=LINE('',#40147,#9122); #6023=LINE('',#40149,#9123); #6024=LINE('',#40150,#9124); #6025=LINE('',#40155,#9125); #6026=LINE('',#40161,#9126); #6027=LINE('',#40167,#9127); #6028=LINE('',#40173,#9128); #6029=LINE('',#40182,#9129); #6030=LINE('',#40184,#9130); #6031=LINE('',#40186,#9131); #6032=LINE('',#40187,#9132); #6033=LINE('',#40192,#9133); #6034=LINE('',#40198,#9134); #6035=LINE('',#40202,#9135); #6036=LINE('',#40204,#9136); #6037=LINE('',#40205,#9137); #6038=LINE('',#40210,#9138); #6039=LINE('',#40216,#9139); #6040=LINE('',#40220,#9140); #6041=LINE('',#40222,#9141); #6042=LINE('',#40223,#9142); #6043=LINE('',#40228,#9143); #6044=LINE('',#40232,#9144); #6045=LINE('',#40234,#9145); #6046=LINE('',#40235,#9146); #6047=LINE('',#40238,#9147); #6048=LINE('',#40240,#9148); #6049=LINE('',#40241,#9149); #6050=LINE('',#40244,#9150); #6051=LINE('',#40246,#9151); #6052=LINE('',#40247,#9152); #6053=LINE('',#40252,#9153); #6054=LINE('',#40256,#9154); #6055=LINE('',#40258,#9155); #6056=LINE('',#40259,#9156); #6057=LINE('',#40262,#9157); #6058=LINE('',#40264,#9158); #6059=LINE('',#40265,#9159); #6060=LINE('',#40268,#9160); #6061=LINE('',#40270,#9161); #6062=LINE('',#40271,#9162); #6063=LINE('',#40274,#9163); #6064=LINE('',#40276,#9164); #6065=LINE('',#40277,#9165); #6066=LINE('',#40282,#9166); #6067=LINE('',#40286,#9167); #6068=LINE('',#40288,#9168); #6069=LINE('',#40289,#9169); #6070=LINE('',#40294,#9170); #6071=LINE('',#40300,#9171); #6072=LINE('',#40304,#9172); #6073=LINE('',#40306,#9173); #6074=LINE('',#40307,#9174); #6075=LINE('',#40310,#9175); #6076=LINE('',#40312,#9176); #6077=LINE('',#40313,#9177); #6078=LINE('',#40316,#9178); #6079=LINE('',#40318,#9179); #6080=LINE('',#40319,#9180); #6081=LINE('',#40322,#9181); #6082=LINE('',#40324,#9182); #6083=LINE('',#40325,#9183); #6084=LINE('',#40328,#9184); #6085=LINE('',#40330,#9185); #6086=LINE('',#40331,#9186); #6087=LINE('',#40336,#9187); #6088=LINE('',#40342,#9188); #6089=LINE('',#40348,#9189); #6090=LINE('',#40352,#9190); #6091=LINE('',#40354,#9191); #6092=LINE('',#40355,#9192); #6093=LINE('',#40360,#9193); #6094=LINE('',#40366,#9194); #6095=LINE('',#40372,#9195); #6096=LINE('',#40376,#9196); #6097=LINE('',#40378,#9197); #6098=LINE('',#40379,#9198); #6099=LINE('',#40384,#9199); #6100=LINE('',#40388,#9200); #6101=LINE('',#40390,#9201); #6102=LINE('',#40391,#9202); #6103=LINE('',#40394,#9203); #6104=LINE('',#40396,#9204); #6105=LINE('',#40397,#9205); #6106=LINE('',#40400,#9206); #6107=LINE('',#40402,#9207); #6108=LINE('',#40403,#9208); #6109=LINE('',#40406,#9209); #6110=LINE('',#40408,#9210); #6111=LINE('',#40409,#9211); #6112=LINE('',#40412,#9212); #6113=LINE('',#40414,#9213); #6114=LINE('',#40415,#9214); #6115=LINE('',#40418,#9215); #6116=LINE('',#40420,#9216); #6117=LINE('',#40421,#9217); #6118=LINE('',#40424,#9218); #6119=LINE('',#40426,#9219); #6120=LINE('',#40427,#9220); #6121=LINE('',#40432,#9221); #6122=LINE('',#40436,#9222); #6123=LINE('',#40438,#9223); #6124=LINE('',#40439,#9224); #6125=LINE('',#40442,#9225); #6126=LINE('',#40444,#9226); #6127=LINE('',#40445,#9227); #6128=LINE('',#40448,#9228); #6129=LINE('',#40450,#9229); #6130=LINE('',#40451,#9230); #6131=LINE('',#40459,#9231); #6132=LINE('',#40461,#9232); #6133=LINE('',#40463,#9233); #6134=LINE('',#40464,#9234); #6135=LINE('',#40467,#9235); #6136=LINE('',#40469,#9236); #6137=LINE('',#40470,#9237); #6138=LINE('',#40473,#9238); #6139=LINE('',#40475,#9239); #6140=LINE('',#40476,#9240); #6141=LINE('',#40479,#9241); #6142=LINE('',#40481,#9242); #6143=LINE('',#40482,#9243); #6144=LINE('',#40485,#9244); #6145=LINE('',#40487,#9245); #6146=LINE('',#40488,#9246); #6147=LINE('',#40491,#9247); #6148=LINE('',#40493,#9248); #6149=LINE('',#40494,#9249); #6150=LINE('',#40497,#9250); #6151=LINE('',#40499,#9251); #6152=LINE('',#40500,#9252); #6153=LINE('',#40503,#9253); #6154=LINE('',#40505,#9254); #6155=LINE('',#40506,#9255); #6156=LINE('',#40509,#9256); #6157=LINE('',#40511,#9257); #6158=LINE('',#40512,#9258); #6159=LINE('',#40517,#9259); #6160=LINE('',#40521,#9260); #6161=LINE('',#40523,#9261); #6162=LINE('',#40524,#9262); #6163=LINE('',#40527,#9263); #6164=LINE('',#40529,#9264); #6165=LINE('',#40530,#9265); #6166=LINE('',#40533,#9266); #6167=LINE('',#40535,#9267); #6168=LINE('',#40536,#9268); #6169=LINE('',#40539,#9269); #6170=LINE('',#40541,#9270); #6171=LINE('',#40542,#9271); #6172=LINE('',#40547,#9272); #6173=LINE('',#40551,#9273); #6174=LINE('',#40553,#9274); #6175=LINE('',#40554,#9275); #6176=LINE('',#40557,#9276); #6177=LINE('',#40559,#9277); #6178=LINE('',#40560,#9278); #6179=LINE('',#40563,#9279); #6180=LINE('',#40565,#9280); #6181=LINE('',#40566,#9281); #6182=LINE('',#40571,#9282); #6183=LINE('',#40575,#9283); #6184=LINE('',#40577,#9284); #6185=LINE('',#40578,#9285); #6186=LINE('',#40581,#9286); #6187=LINE('',#40583,#9287); #6188=LINE('',#40584,#9288); #6189=LINE('',#40587,#9289); #6190=LINE('',#40589,#9290); #6191=LINE('',#40590,#9291); #6192=LINE('',#40593,#9292); #6193=LINE('',#40595,#9293); #6194=LINE('',#40596,#9294); #6195=LINE('',#40606,#9295); #6196=LINE('',#40609,#9296); #6197=LINE('',#40614,#9297); #6198=LINE('',#40620,#9298); #6199=LINE('',#40626,#9299); #6200=LINE('',#40632,#9300); #6201=LINE('',#40636,#9301); #6202=LINE('',#40638,#9302); #6203=LINE('',#40639,#9303); #6204=LINE('',#40642,#9304); #6205=LINE('',#40644,#9305); #6206=LINE('',#40645,#9306); #6207=LINE('',#40648,#9307); #6208=LINE('',#40650,#9308); #6209=LINE('',#40651,#9309); #6210=LINE('',#40653,#9310); #6211=LINE('',#40654,#9311); #6212=LINE('',#40658,#9312); #6213=LINE('',#40660,#9313); #6214=LINE('',#40662,#9314); #6215=LINE('',#40663,#9315); #6216=LINE('',#40666,#9316); #6217=LINE('',#40668,#9317); #6218=LINE('',#40669,#9318); #6219=LINE('',#40672,#9319); #6220=LINE('',#40674,#9320); #6221=LINE('',#40675,#9321); #6222=LINE('',#40678,#9322); #6223=LINE('',#40680,#9323); #6224=LINE('',#40681,#9324); #6225=LINE('',#40684,#9325); #6226=LINE('',#40686,#9326); #6227=LINE('',#40687,#9327); #6228=LINE('',#40690,#9328); #6229=LINE('',#40692,#9329); #6230=LINE('',#40693,#9330); #6231=LINE('',#40696,#9331); #6232=LINE('',#40698,#9332); #6233=LINE('',#40699,#9333); #6234=LINE('',#40704,#9334); #6235=LINE('',#40708,#9335); #6236=LINE('',#40710,#9336); #6237=LINE('',#40711,#9337); #6238=LINE('',#40716,#9338); #6239=LINE('',#40722,#9339); #6240=LINE('',#40726,#9340); #6241=LINE('',#40728,#9341); #6242=LINE('',#40729,#9342); #6243=LINE('',#40734,#9343); #6244=LINE('',#40740,#9344); #6245=LINE('',#40746,#9345); #6246=LINE('',#40750,#9346); #6247=LINE('',#40752,#9347); #6248=LINE('',#40753,#9348); #6249=LINE('',#40756,#9349); #6250=LINE('',#40758,#9350); #6251=LINE('',#40759,#9351); #6252=LINE('',#40762,#9352); #6253=LINE('',#40764,#9353); #6254=LINE('',#40765,#9354); #6255=LINE('',#40768,#9355); #6256=LINE('',#40770,#9356); #6257=LINE('',#40771,#9357); #6258=LINE('',#40774,#9358); #6259=LINE('',#40776,#9359); #6260=LINE('',#40777,#9360); #6261=LINE('',#40780,#9361); #6262=LINE('',#40782,#9362); #6263=LINE('',#40783,#9363); #6264=LINE('',#40786,#9364); #6265=LINE('',#40788,#9365); #6266=LINE('',#40789,#9366); #6267=LINE('',#40792,#9367); #6268=LINE('',#40794,#9368); #6269=LINE('',#40795,#9369); #6270=LINE('',#40800,#9370); #6271=LINE('',#40806,#9371); #6272=LINE('',#40812,#9372); #6273=LINE('',#40818,#9373); #6274=LINE('',#40824,#9374); #6275=LINE('',#40828,#9375); #6276=LINE('',#40830,#9376); #6277=LINE('',#40831,#9377); #6278=LINE('',#40836,#9378); #6279=LINE('',#40845,#9379); #6280=LINE('',#40847,#9380); #6281=LINE('',#40849,#9381); #6282=LINE('',#40850,#9382); #6283=LINE('',#40853,#9383); #6284=LINE('',#40855,#9384); #6285=LINE('',#40856,#9385); #6286=LINE('',#40859,#9386); #6287=LINE('',#40861,#9387); #6288=LINE('',#40862,#9388); #6289=LINE('',#40865,#9389); #6290=LINE('',#40867,#9390); #6291=LINE('',#40868,#9391); #6292=LINE('',#40871,#9392); #6293=LINE('',#40873,#9393); #6294=LINE('',#40874,#9394); #6295=LINE('',#40877,#9395); #6296=LINE('',#40879,#9396); #6297=LINE('',#40880,#9397); #6298=LINE('',#40883,#9398); #6299=LINE('',#40885,#9399); #6300=LINE('',#40886,#9400); #6301=LINE('',#40889,#9401); #6302=LINE('',#40891,#9402); #6303=LINE('',#40892,#9403); #6304=LINE('',#40895,#9404); #6305=LINE('',#40897,#9405); #6306=LINE('',#40898,#9406); #6307=LINE('',#40901,#9407); #6308=LINE('',#40903,#9408); #6309=LINE('',#40904,#9409); #6310=LINE('',#40909,#9410); #6311=LINE('',#40913,#9411); #6312=LINE('',#40915,#9412); #6313=LINE('',#40916,#9413); #6314=LINE('',#40919,#9414); #6315=LINE('',#40921,#9415); #6316=LINE('',#40922,#9416); #6317=LINE('',#40925,#9417); #6318=LINE('',#40927,#9418); #6319=LINE('',#40928,#9419); #6320=LINE('',#40933,#9420); #6321=LINE('',#40937,#9421); #6322=LINE('',#40939,#9422); #6323=LINE('',#40940,#9423); #6324=LINE('',#40943,#9424); #6325=LINE('',#40945,#9425); #6326=LINE('',#40946,#9426); #6327=LINE('',#40949,#9427); #6328=LINE('',#40951,#9428); #6329=LINE('',#40952,#9429); #6330=LINE('',#40955,#9430); #6331=LINE('',#40957,#9431); #6332=LINE('',#40958,#9432); #6333=LINE('',#40961,#9433); #6334=LINE('',#40963,#9434); #6335=LINE('',#40964,#9435); #6336=LINE('',#40967,#9436); #6337=LINE('',#40969,#9437); #6338=LINE('',#40970,#9438); #6339=LINE('',#40973,#9439); #6340=LINE('',#40975,#9440); #6341=LINE('',#40976,#9441); #6342=LINE('',#40979,#9442); #6343=LINE('',#40981,#9443); #6344=LINE('',#40982,#9444); #6345=LINE('',#40985,#9445); #6346=LINE('',#40987,#9446); #6347=LINE('',#40988,#9447); #6348=LINE('',#40991,#9448); #6349=LINE('',#40993,#9449); #6350=LINE('',#40994,#9450); #6351=LINE('',#40997,#9451); #6352=LINE('',#40999,#9452); #6353=LINE('',#41000,#9453); #6354=LINE('',#41005,#9454); #6355=LINE('',#41009,#9455); #6356=LINE('',#41011,#9456); #6357=LINE('',#41012,#9457); #6358=LINE('',#41015,#9458); #6359=LINE('',#41017,#9459); #6360=LINE('',#41018,#9460); #6361=LINE('',#41021,#9461); #6362=LINE('',#41023,#9462); #6363=LINE('',#41024,#9463); #6364=LINE('',#41027,#9464); #6365=LINE('',#41029,#9465); #6366=LINE('',#41030,#9466); #6367=LINE('',#41035,#9467); #6368=LINE('',#41039,#9468); #6369=LINE('',#41041,#9469); #6370=LINE('',#41042,#9470); #6371=LINE('',#41045,#9471); #6372=LINE('',#41047,#9472); #6373=LINE('',#41048,#9473); #6374=LINE('',#41051,#9474); #6375=LINE('',#41053,#9475); #6376=LINE('',#41054,#9476); #6377=LINE('',#41057,#9477); #6378=LINE('',#41059,#9478); #6379=LINE('',#41060,#9479); #6380=LINE('',#41062,#9480); #6381=LINE('',#41063,#9481); #6382=LINE('',#41068,#9482); #6383=LINE('',#41070,#9483); #6384=LINE('',#41072,#9484); #6385=LINE('',#41073,#9485); #6386=LINE('',#41076,#9486); #6387=LINE('',#41078,#9487); #6388=LINE('',#41079,#9488); #6389=LINE('',#41082,#9489); #6390=LINE('',#41084,#9490); #6391=LINE('',#41085,#9491); #6392=LINE('',#41088,#9492); #6393=LINE('',#41090,#9493); #6394=LINE('',#41091,#9494); #6395=LINE('',#41096,#9495); #6396=LINE('',#41100,#9496); #6397=LINE('',#41102,#9497); #6398=LINE('',#41103,#9498); #6399=LINE('',#41106,#9499); #6400=LINE('',#41108,#9500); #6401=LINE('',#41109,#9501); #6402=LINE('',#41112,#9502); #6403=LINE('',#41114,#9503); #6404=LINE('',#41115,#9504); #6405=LINE('',#41120,#9505); #6406=LINE('',#41124,#9506); #6407=LINE('',#41126,#9507); #6408=LINE('',#41127,#9508); #6409=LINE('',#41130,#9509); #6410=LINE('',#41132,#9510); #6411=LINE('',#41133,#9511); #6412=LINE('',#41136,#9512); #6413=LINE('',#41138,#9513); #6414=LINE('',#41139,#9514); #6415=LINE('',#41142,#9515); #6416=LINE('',#41144,#9516); #6417=LINE('',#41145,#9517); #6418=LINE('',#41148,#9518); #6419=LINE('',#41150,#9519); #6420=LINE('',#41151,#9520); #6421=LINE('',#41154,#9521); #6422=LINE('',#41156,#9522); #6423=LINE('',#41157,#9523); #6424=LINE('',#41160,#9524); #6425=LINE('',#41162,#9525); #6426=LINE('',#41163,#9526); #6427=LINE('',#41168,#9527); #6428=LINE('',#41172,#9528); #6429=LINE('',#41174,#9529); #6430=LINE('',#41175,#9530); #6431=LINE('',#41178,#9531); #6432=LINE('',#41180,#9532); #6433=LINE('',#41181,#9533); #6434=LINE('',#41184,#9534); #6435=LINE('',#41186,#9535); #6436=LINE('',#41187,#9536); #6437=LINE('',#41190,#9537); #6438=LINE('',#41192,#9538); #6439=LINE('',#41193,#9539); #6440=LINE('',#41196,#9540); #6441=LINE('',#41198,#9541); #6442=LINE('',#41199,#9542); #6443=LINE('',#41204,#9543); #6444=LINE('',#41208,#9544); #6445=LINE('',#41210,#9545); #6446=LINE('',#41211,#9546); #6447=LINE('',#41214,#9547); #6448=LINE('',#41216,#9548); #6449=LINE('',#41217,#9549); #6450=LINE('',#41220,#9550); #6451=LINE('',#41222,#9551); #6452=LINE('',#41223,#9552); #6453=LINE('',#41226,#9553); #6454=LINE('',#41228,#9554); #6455=LINE('',#41229,#9555); #6456=LINE('',#41234,#9556); #6457=LINE('',#41238,#9557); #6458=LINE('',#41240,#9558); #6459=LINE('',#41241,#9559); #6460=LINE('',#41244,#9560); #6461=LINE('',#41246,#9561); #6462=LINE('',#41247,#9562); #6463=LINE('',#41250,#9563); #6464=LINE('',#41252,#9564); #6465=LINE('',#41253,#9565); #6466=LINE('',#41256,#9566); #6467=LINE('',#41258,#9567); #6468=LINE('',#41259,#9568); #6469=LINE('',#41262,#9569); #6470=LINE('',#41264,#9570); #6471=LINE('',#41265,#9571); #6472=LINE('',#41268,#9572); #6473=LINE('',#41270,#9573); #6474=LINE('',#41271,#9574); #6475=LINE('',#41274,#9575); #6476=LINE('',#41276,#9576); #6477=LINE('',#41277,#9577); #6478=LINE('',#41280,#9578); #6479=LINE('',#41282,#9579); #6480=LINE('',#41283,#9580); #6481=LINE('',#41286,#9581); #6482=LINE('',#41288,#9582); #6483=LINE('',#41289,#9583); #6484=LINE('',#41292,#9584); #6485=LINE('',#41294,#9585); #6486=LINE('',#41295,#9586); #6487=LINE('',#41300,#9587); #6488=LINE('',#41304,#9588); #6489=LINE('',#41306,#9589); #6490=LINE('',#41307,#9590); #6491=LINE('',#41310,#9591); #6492=LINE('',#41312,#9592); #6493=LINE('',#41313,#9593); #6494=LINE('',#41316,#9594); #6495=LINE('',#41318,#9595); #6496=LINE('',#41319,#9596); #6497=LINE('',#41322,#9597); #6498=LINE('',#41324,#9598); #6499=LINE('',#41325,#9599); #6500=LINE('',#41327,#9600); #6501=LINE('',#41328,#9601); #6502=LINE('',#41333,#9602); #6503=LINE('',#41335,#9603); #6504=LINE('',#41337,#9604); #6505=LINE('',#41338,#9605); #6506=LINE('',#41343,#9606); #6507=LINE('',#41347,#9607); #6508=LINE('',#41349,#9608); #6509=LINE('',#41350,#9609); #6510=LINE('',#41353,#9610); #6511=LINE('',#41355,#9611); #6512=LINE('',#41356,#9612); #6513=LINE('',#41359,#9613); #6514=LINE('',#41361,#9614); #6515=LINE('',#41362,#9615); #6516=LINE('',#41365,#9616); #6517=LINE('',#41367,#9617); #6518=LINE('',#41368,#9618); #6519=LINE('',#41371,#9619); #6520=LINE('',#41373,#9620); #6521=LINE('',#41374,#9621); #6522=LINE('',#41377,#9622); #6523=LINE('',#41379,#9623); #6524=LINE('',#41380,#9624); #6525=LINE('',#41385,#9625); #6526=LINE('',#41391,#9626); #6527=LINE('',#41397,#9627); #6528=LINE('',#41403,#9628); #6529=LINE('',#41409,#9629); #6530=LINE('',#41415,#9630); #6531=LINE('',#41419,#9631); #6532=LINE('',#41421,#9632); #6533=LINE('',#41422,#9633); #6534=LINE('',#41425,#9634); #6535=LINE('',#41427,#9635); #6536=LINE('',#41428,#9636); #6537=LINE('',#41431,#9637); #6538=LINE('',#41433,#9638); #6539=LINE('',#41434,#9639); #6540=LINE('',#41437,#9640); #6541=LINE('',#41439,#9641); #6542=LINE('',#41440,#9642); #6543=LINE('',#41443,#9643); #6544=LINE('',#41445,#9644); #6545=LINE('',#41446,#9645); #6546=LINE('',#41451,#9646); #6547=LINE('',#41455,#9647); #6548=LINE('',#41457,#9648); #6549=LINE('',#41458,#9649); #6550=LINE('',#41461,#9650); #6551=LINE('',#41463,#9651); #6552=LINE('',#41464,#9652); #6553=LINE('',#41467,#9653); #6554=LINE('',#41469,#9654); #6555=LINE('',#41470,#9655); #6556=LINE('',#41473,#9656); #6557=LINE('',#41475,#9657); #6558=LINE('',#41476,#9658); #6559=LINE('',#41479,#9659); #6560=LINE('',#41481,#9660); #6561=LINE('',#41482,#9661); #6562=LINE('',#41485,#9662); #6563=LINE('',#41487,#9663); #6564=LINE('',#41488,#9664); #6565=LINE('',#41491,#9665); #6566=LINE('',#41493,#9666); #6567=LINE('',#41494,#9667); #6568=LINE('',#41497,#9668); #6569=LINE('',#41499,#9669); #6570=LINE('',#41500,#9670); #6571=LINE('',#41503,#9671); #6572=LINE('',#41505,#9672); #6573=LINE('',#41506,#9673); #6574=LINE('',#41509,#9674); #6575=LINE('',#41511,#9675); #6576=LINE('',#41512,#9676); #6577=LINE('',#41515,#9677); #6578=LINE('',#41517,#9678); #6579=LINE('',#41518,#9679); #6580=LINE('',#41521,#9680); #6581=LINE('',#41523,#9681); #6582=LINE('',#41524,#9682); #6583=LINE('',#41527,#9683); #6584=LINE('',#41529,#9684); #6585=LINE('',#41530,#9685); #6586=LINE('',#41533,#9686); #6587=LINE('',#41535,#9687); #6588=LINE('',#41536,#9688); #6589=LINE('',#41541,#9689); #6590=LINE('',#41545,#9690); #6591=LINE('',#41547,#9691); #6592=LINE('',#41548,#9692); #6593=LINE('',#41551,#9693); #6594=LINE('',#41553,#9694); #6595=LINE('',#41554,#9695); #6596=LINE('',#41557,#9696); #6597=LINE('',#41559,#9697); #6598=LINE('',#41560,#9698); #6599=LINE('',#41563,#9699); #6600=LINE('',#41565,#9700); #6601=LINE('',#41566,#9701); #6602=LINE('',#41569,#9702); #6603=LINE('',#41571,#9703); #6604=LINE('',#41572,#9704); #6605=LINE('',#41575,#9705); #6606=LINE('',#41577,#9706); #6607=LINE('',#41578,#9707); #6608=LINE('',#41581,#9708); #6609=LINE('',#41583,#9709); #6610=LINE('',#41584,#9710); #6611=LINE('',#41587,#9711); #6612=LINE('',#41589,#9712); #6613=LINE('',#41590,#9713); #6614=LINE('',#41593,#9714); #6615=LINE('',#41595,#9715); #6616=LINE('',#41596,#9716); #6617=LINE('',#41599,#9717); #6618=LINE('',#41601,#9718); #6619=LINE('',#41602,#9719); #6620=LINE('',#41605,#9720); #6621=LINE('',#41607,#9721); #6622=LINE('',#41608,#9722); #6623=LINE('',#41613,#9723); #6624=LINE('',#41619,#9724); #6625=LINE('',#41625,#9725); #6626=LINE('',#41631,#9726); #6627=LINE('',#41637,#9727); #6628=LINE('',#41643,#9728); #6629=LINE('',#41647,#9729); #6630=LINE('',#41649,#9730); #6631=LINE('',#41650,#9731); #6632=LINE('',#41652,#9732); #6633=LINE('',#41653,#9733); #6634=LINE('',#41658,#9734); #6635=LINE('',#41660,#9735); #6636=LINE('',#41662,#9736); #6637=LINE('',#41663,#9737); #6638=LINE('',#41666,#9738); #6639=LINE('',#41668,#9739); #6640=LINE('',#41669,#9740); #6641=LINE('',#41672,#9741); #6642=LINE('',#41674,#9742); #6643=LINE('',#41675,#9743); #6644=LINE('',#41680,#9744); #6645=LINE('',#41684,#9745); #6646=LINE('',#41686,#9746); #6647=LINE('',#41687,#9747); #6648=LINE('',#41692,#9748); #6649=LINE('',#41696,#9749); #6650=LINE('',#41698,#9750); #6651=LINE('',#41699,#9751); #6652=LINE('',#41702,#9752); #6653=LINE('',#41704,#9753); #6654=LINE('',#41705,#9754); #6655=LINE('',#41708,#9755); #6656=LINE('',#41710,#9756); #6657=LINE('',#41711,#9757); #6658=LINE('',#41714,#9758); #6659=LINE('',#41716,#9759); #6660=LINE('',#41717,#9760); #6661=LINE('',#41719,#9761); #6662=LINE('',#41720,#9762); #6663=LINE('',#41727,#9763); #6664=LINE('',#41730,#9764); #6665=LINE('',#41735,#9765); #6666=LINE('',#41741,#9766); #6667=LINE('',#41747,#9767); #6668=LINE('',#41753,#9768); #6669=LINE('',#41759,#9769); #6670=LINE('',#41763,#9770); #6671=LINE('',#41765,#9771); #6672=LINE('',#41766,#9772); #6673=LINE('',#41771,#9773); #6674=LINE('',#41777,#9774); #6675=LINE('',#41785,#9775); #6676=LINE('',#41787,#9776); #6677=LINE('',#41789,#9777); #6678=LINE('',#41790,#9778); #6679=LINE('',#41793,#9779); #6680=LINE('',#41795,#9780); #6681=LINE('',#41796,#9781); #6682=LINE('',#41799,#9782); #6683=LINE('',#41801,#9783); #6684=LINE('',#41802,#9784); #6685=LINE('',#41805,#9785); #6686=LINE('',#41807,#9786); #6687=LINE('',#41808,#9787); #6688=LINE('',#41813,#9788); #6689=LINE('',#41817,#9789); #6690=LINE('',#41819,#9790); #6691=LINE('',#41820,#9791); #6692=LINE('',#41823,#9792); #6693=LINE('',#41825,#9793); #6694=LINE('',#41826,#9794); #6695=LINE('',#41829,#9795); #6696=LINE('',#41831,#9796); #6697=LINE('',#41832,#9797); #6698=LINE('',#41835,#9798); #6699=LINE('',#41837,#9799); #6700=LINE('',#41838,#9800); #6701=LINE('',#41841,#9801); #6702=LINE('',#41843,#9802); #6703=LINE('',#41844,#9803); #6704=LINE('',#41847,#9804); #6705=LINE('',#41849,#9805); #6706=LINE('',#41850,#9806); #6707=LINE('',#41853,#9807); #6708=LINE('',#41855,#9808); #6709=LINE('',#41856,#9809); #6710=LINE('',#41859,#9810); #6711=LINE('',#41861,#9811); #6712=LINE('',#41862,#9812); #6713=LINE('',#41865,#9813); #6714=LINE('',#41867,#9814); #6715=LINE('',#41868,#9815); #6716=LINE('',#41871,#9816); #6717=LINE('',#41873,#9817); #6718=LINE('',#41874,#9818); #6719=LINE('',#41877,#9819); #6720=LINE('',#41879,#9820); #6721=LINE('',#41880,#9821); #6722=LINE('',#41883,#9822); #6723=LINE('',#41885,#9823); #6724=LINE('',#41886,#9824); #6725=LINE('',#41889,#9825); #6726=LINE('',#41891,#9826); #6727=LINE('',#41892,#9827); #6728=LINE('',#41895,#9828); #6729=LINE('',#41897,#9829); #6730=LINE('',#41898,#9830); #6731=LINE('',#41901,#9831); #6732=LINE('',#41903,#9832); #6733=LINE('',#41904,#9833); #6734=LINE('',#41907,#9834); #6735=LINE('',#41909,#9835); #6736=LINE('',#41910,#9836); #6737=LINE('',#41915,#9837); #6738=LINE('',#41919,#9838); #6739=LINE('',#41921,#9839); #6740=LINE('',#41922,#9840); #6741=LINE('',#41927,#9841); #6742=LINE('',#41933,#9842); #6743=LINE('',#41937,#9843); #6744=LINE('',#41939,#9844); #6745=LINE('',#41940,#9845); #6746=LINE('',#41945,#9846); #6747=LINE('',#41951,#9847); #6748=LINE('',#41957,#9848); #6749=LINE('',#41963,#9849); #6750=LINE('',#41969,#9850); #6751=LINE('',#41975,#9851); #6752=LINE('',#41981,#9852); #6753=LINE('',#41987,#9853); #6754=LINE('',#41993,#9854); #6755=LINE('',#41997,#9855); #6756=LINE('',#41999,#9856); #6757=LINE('',#42000,#9857); #6758=LINE('',#42008,#9858); #6759=LINE('',#42010,#9859); #6760=LINE('',#42012,#9860); #6761=LINE('',#42013,#9861); #6762=LINE('',#42018,#9862); #6763=LINE('',#42022,#9863); #6764=LINE('',#42024,#9864); #6765=LINE('',#42025,#9865); #6766=LINE('',#42028,#9866); #6767=LINE('',#42030,#9867); #6768=LINE('',#42031,#9868); #6769=LINE('',#42036,#9869); #6770=LINE('',#42040,#9870); #6771=LINE('',#42042,#9871); #6772=LINE('',#42043,#9872); #6773=LINE('',#42046,#9873); #6774=LINE('',#42048,#9874); #6775=LINE('',#42049,#9875); #6776=LINE('',#42054,#9876); #6777=LINE('',#42060,#9877); #6778=LINE('',#42066,#9878); #6779=LINE('',#42072,#9879); #6780=LINE('',#42078,#9880); #6781=LINE('',#42084,#9881); #6782=LINE('',#42088,#9882); #6783=LINE('',#42090,#9883); #6784=LINE('',#42091,#9884); #6785=LINE('',#42096,#9885); #6786=LINE('',#42102,#9886); #6787=LINE('',#42108,#9887); #6788=LINE('',#42114,#9888); #6789=LINE('',#42120,#9889); #6790=LINE('',#42124,#9890); #6791=LINE('',#42126,#9891); #6792=LINE('',#42127,#9892); #6793=LINE('',#42130,#9893); #6794=LINE('',#42132,#9894); #6795=LINE('',#42133,#9895); #6796=LINE('',#42136,#9896); #6797=LINE('',#42138,#9897); #6798=LINE('',#42139,#9898); #6799=LINE('',#42142,#9899); #6800=LINE('',#42144,#9900); #6801=LINE('',#42145,#9901); #6802=LINE('',#42148,#9902); #6803=LINE('',#42150,#9903); #6804=LINE('',#42151,#9904); #6805=LINE('',#42154,#9905); #6806=LINE('',#42156,#9906); #6807=LINE('',#42157,#9907); #6808=LINE('',#42160,#9908); #6809=LINE('',#42162,#9909); #6810=LINE('',#42163,#9910); #6811=LINE('',#42168,#9911); #6812=LINE('',#42172,#9912); #6813=LINE('',#42174,#9913); #6814=LINE('',#42175,#9914); #6815=LINE('',#42180,#9915); #6816=LINE('',#42184,#9916); #6817=LINE('',#42186,#9917); #6818=LINE('',#42187,#9918); #6819=LINE('',#42190,#9919); #6820=LINE('',#42192,#9920); #6821=LINE('',#42193,#9921); #6822=LINE('',#42198,#9922); #6823=LINE('',#42204,#9923); #6824=LINE('',#42210,#9924); #6825=LINE('',#42216,#9925); #6826=LINE('',#42222,#9926); #6827=LINE('',#42228,#9927); #6828=LINE('',#42232,#9928); #6829=LINE('',#42234,#9929); #6830=LINE('',#42235,#9930); #6831=LINE('',#42240,#9931); #6832=LINE('',#42246,#9932); #6833=LINE('',#42252,#9933); #6834=LINE('',#42258,#9934); #6835=LINE('',#42269,#9935); #6836=LINE('',#42271,#9936); #6837=LINE('',#42273,#9937); #6838=LINE('',#42275,#9938); #6839=LINE('',#42277,#9939); #6840=LINE('',#42279,#9940); #6841=LINE('',#42281,#9941); #6842=LINE('',#42283,#9942); #6843=LINE('',#42285,#9943); #6844=LINE('',#42287,#9944); #6845=LINE('',#42289,#9945); #6846=LINE('',#42290,#9946); #6847=LINE('',#42324,#9947); #6848=LINE('',#42328,#9948); #6849=LINE('',#42332,#9949); #6850=LINE('',#42336,#9950); #6851=LINE('',#42340,#9951); #6852=LINE('',#42344,#9952); #6853=LINE('',#42348,#9953); #6854=LINE('',#42352,#9954); #6855=LINE('',#42356,#9955); #6856=LINE('',#42360,#9956); #6857=LINE('',#42364,#9957); #6858=LINE('',#42368,#9958); #6859=LINE('',#42372,#9959); #6860=LINE('',#42376,#9960); #6861=LINE('',#42380,#9961); #6862=LINE('',#42382,#9962); #6863=LINE('',#42384,#9963); #6864=LINE('',#42385,#9964); #6865=LINE('',#42389,#9965); #6866=LINE('',#42391,#9966); #6867=LINE('',#42393,#9967); #6868=LINE('',#42394,#9968); #6869=LINE('',#42398,#9969); #6870=LINE('',#42400,#9970); #6871=LINE('',#42402,#9971); #6872=LINE('',#42403,#9972); #6873=LINE('',#42407,#9973); #6874=LINE('',#42409,#9974); #6875=LINE('',#42411,#9975); #6876=LINE('',#42412,#9976); #6877=LINE('',#42415,#9977); #6878=LINE('',#42417,#9978); #6879=LINE('',#42418,#9979); #6880=LINE('',#42421,#9980); #6881=LINE('',#42423,#9981); #6882=LINE('',#42424,#9982); #6883=LINE('',#42427,#9983); #6884=LINE('',#42429,#9984); #6885=LINE('',#42430,#9985); #6886=LINE('',#42433,#9986); #6887=LINE('',#42435,#9987); #6888=LINE('',#42436,#9988); #6889=LINE('',#42440,#9989); #6890=LINE('',#42441,#9990); #6891=LINE('',#42442,#9991); #6892=LINE('',#42445,#9992); #6893=LINE('',#42446,#9993); #6894=LINE('',#42449,#9994); #6895=LINE('',#42450,#9995); #6896=LINE('',#42452,#9996); #6897=LINE('',#42456,#9997); #6898=LINE('',#42458,#9998); #6899=LINE('',#42460,#9999); #6900=LINE('',#42461,#10000); #6901=LINE('',#42464,#10001); #6902=LINE('',#42466,#10002); #6903=LINE('',#42467,#10003); #6904=LINE('',#42470,#10004); #6905=LINE('',#42472,#10005); #6906=LINE('',#42473,#10006); #6907=LINE('',#42476,#10007); #6908=LINE('',#42478,#10008); #6909=LINE('',#42479,#10009); #6910=LINE('',#42482,#10010); #6911=LINE('',#42484,#10011); #6912=LINE('',#42485,#10012); #6913=LINE('',#42489,#10013); #6914=LINE('',#42490,#10014); #6915=LINE('',#42491,#10015); #6916=LINE('',#42494,#10016); #6917=LINE('',#42495,#10017); #6918=LINE('',#42498,#10018); #6919=LINE('',#42499,#10019); #6920=LINE('',#42501,#10020); #6921=LINE('',#42505,#10021); #6922=LINE('',#42506,#10022); #6923=LINE('',#42507,#10023); #6924=LINE('',#42511,#10024); #6925=LINE('',#42513,#10025); #6926=LINE('',#42515,#10026); #6927=LINE('',#42516,#10027); #6928=LINE('',#42519,#10028); #6929=LINE('',#42521,#10029); #6930=LINE('',#42522,#10030); #6931=LINE('',#42525,#10031); #6932=LINE('',#42527,#10032); #6933=LINE('',#42528,#10033); #6934=LINE('',#42531,#10034); #6935=LINE('',#42533,#10035); #6936=LINE('',#42534,#10036); #6937=LINE('',#42538,#10037); #6938=LINE('',#42539,#10038); #6939=LINE('',#42540,#10039); #6940=LINE('',#42545,#10040); #6941=LINE('',#42547,#10041); #6942=LINE('',#42548,#10042); #6943=LINE('',#42550,#10043); #6944=LINE('',#42554,#10044); #6945=LINE('',#42557,#10045); #6946=LINE('',#42559,#10046); #6947=LINE('',#42563,#10047); #6948=LINE('',#42566,#10048); #6949=LINE('',#42568,#10049); #6950=LINE('',#42571,#10050); #6951=LINE('',#42574,#10051); #6952=LINE('',#42575,#10052); #6953=LINE('',#42578,#10053); #6954=LINE('',#42582,#10054); #6955=LINE('',#42585,#10055); #6956=LINE('',#42587,#10056); #6957=LINE('',#42591,#10057); #6958=LINE('',#42594,#10058); #6959=LINE('',#42596,#10059); #6960=LINE('',#42600,#10060); #6961=LINE('',#42603,#10061); #6962=LINE('',#42604,#10062); #6963=LINE('',#42608,#10063); #6964=LINE('',#42610,#10064); #6965=LINE('',#42612,#10065); #6966=LINE('',#42613,#10066); #6967=LINE('',#42616,#10067); #6968=LINE('',#42618,#10068); #6969=LINE('',#42619,#10069); #6970=LINE('',#42622,#10070); #6971=LINE('',#42624,#10071); #6972=LINE('',#42625,#10072); #6973=LINE('',#42628,#10073); #6974=LINE('',#42630,#10074); #6975=LINE('',#42631,#10075); #6976=LINE('',#42634,#10076); #6977=LINE('',#42636,#10077); #6978=LINE('',#42637,#10078); #6979=LINE('',#42641,#10079); #6980=LINE('',#42642,#10080); #6981=LINE('',#42643,#10081); #6982=LINE('',#42646,#10082); #6983=LINE('',#42647,#10083); #6984=LINE('',#42650,#10084); #6985=LINE('',#42651,#10085); #6986=LINE('',#42653,#10086); #6987=LINE('',#42656,#10087); #6988=LINE('',#42658,#10088); #6989=LINE('',#42659,#10089); #6990=LINE('',#42662,#10090); #6991=LINE('',#42664,#10091); #6992=LINE('',#42665,#10092); #6993=LINE('',#42668,#10093); #6994=LINE('',#42669,#10094); #6995=LINE('',#42672,#10095); #6996=LINE('',#42674,#10096); #6997=LINE('',#42675,#10097); #6998=LINE('',#42678,#10098); #6999=LINE('',#42679,#10099); #7000=LINE('',#42682,#10100); #7001=LINE('',#42684,#10101); #7002=LINE('',#42685,#10102); #7003=LINE('',#42688,#10103); #7004=LINE('',#42689,#10104); #7005=LINE('',#42692,#10105); #7006=LINE('',#42693,#10106); #7007=LINE('',#42695,#10107); #7008=LINE('',#42696,#10108); #7009=LINE('',#42697,#10109); #7010=LINE('',#42698,#10110); #7011=LINE('',#42732,#10111); #7012=LINE('',#42734,#10112); #7013=LINE('',#42736,#10113); #7014=LINE('',#42738,#10114); #7015=LINE('',#42741,#10115); #7016=LINE('',#42743,#10116); #7017=LINE('',#42745,#10117); #7018=LINE('',#42747,#10118); #7019=LINE('',#42750,#10119); #7020=LINE('',#42752,#10120); #7021=LINE('',#42754,#10121); #7022=LINE('',#42756,#10122); #7023=VECTOR('',#28175,1000.); #7024=VECTOR('',#28178,1000.); #7025=VECTOR('',#28181,1000.); #7026=VECTOR('',#28182,1000.); #7027=VECTOR('',#28183,1000.); #7028=VECTOR('',#28186,1000.); #7029=VECTOR('',#28187,1000.); #7030=VECTOR('',#28188,1000.); #7031=VECTOR('',#28189,1000.); #7032=VECTOR('',#28192,1000.); #7033=VECTOR('',#28193,1000.); #7034=VECTOR('',#28194,1000.); #7035=VECTOR('',#28197,1000.); #7036=VECTOR('',#28198,1000.); #7037=VECTOR('',#28201,1000.); #7038=VECTOR('',#28202,1000.); #7039=VECTOR('',#28209,1000.); #7040=VECTOR('',#28210,10.); #7041=VECTOR('',#28211,1000.); #7042=VECTOR('',#28212,10.); #7043=VECTOR('',#28215,1000.); #7044=VECTOR('',#28216,10.); #7045=VECTOR('',#28217,1000.); #7046=VECTOR('',#28218,10.); #7047=VECTOR('',#28221,1000.); #7048=VECTOR('',#28222,1000.); #7049=VECTOR('',#28225,1000.); #7050=VECTOR('',#28228,1000.); #7051=VECTOR('',#28231,1000.); #7052=VECTOR('',#28232,10.); #7053=VECTOR('',#28233,1000.); #7054=VECTOR('',#28234,10.); #7055=VECTOR('',#28237,1000.); #7056=VECTOR('',#28238,10.); #7057=VECTOR('',#28239,1000.); #7058=VECTOR('',#28240,10.); #7059=VECTOR('',#28243,1000.); #7060=VECTOR('',#28244,1000.); #7061=VECTOR('',#28247,1000.); #7062=VECTOR('',#28250,1000.); #7063=VECTOR('',#28253,1000.); #7064=VECTOR('',#28254,10.); #7065=VECTOR('',#28255,1000.); #7066=VECTOR('',#28256,10.); #7067=VECTOR('',#28259,1000.); #7068=VECTOR('',#28260,10.); #7069=VECTOR('',#28261,1000.); #7070=VECTOR('',#28262,10.); #7071=VECTOR('',#28265,1000.); #7072=VECTOR('',#28266,1000.); #7073=VECTOR('',#28269,1000.); #7074=VECTOR('',#28272,1000.); #7075=VECTOR('',#28275,1000.); #7076=VECTOR('',#28276,10.); #7077=VECTOR('',#28277,1000.); #7078=VECTOR('',#28278,10.); #7079=VECTOR('',#28281,1000.); #7080=VECTOR('',#28282,10.); #7081=VECTOR('',#28283,1000.); #7082=VECTOR('',#28284,10.); #7083=VECTOR('',#28287,1000.); #7084=VECTOR('',#28288,1000.); #7085=VECTOR('',#28291,1000.); #7086=VECTOR('',#28294,1000.); #7087=VECTOR('',#28297,1000.); #7088=VECTOR('',#28298,10.); #7089=VECTOR('',#28299,1000.); #7090=VECTOR('',#28300,10.); #7091=VECTOR('',#28303,1000.); #7092=VECTOR('',#28304,10.); #7093=VECTOR('',#28305,1000.); #7094=VECTOR('',#28306,10.); #7095=VECTOR('',#28309,1000.); #7096=VECTOR('',#28310,1000.); #7097=VECTOR('',#28313,1000.); #7098=VECTOR('',#28316,1000.); #7099=VECTOR('',#28319,1000.); #7100=VECTOR('',#28320,10.); #7101=VECTOR('',#28321,1000.); #7102=VECTOR('',#28322,10.); #7103=VECTOR('',#28325,1000.); #7104=VECTOR('',#28326,10.); #7105=VECTOR('',#28327,1000.); #7106=VECTOR('',#28328,10.); #7107=VECTOR('',#28331,1000.); #7108=VECTOR('',#28332,1000.); #7109=VECTOR('',#28337,1000.); #7110=VECTOR('',#28338,1000.); #7111=VECTOR('',#28341,1000.); #7112=VECTOR('',#28342,10.); #7113=VECTOR('',#28343,1000.); #7114=VECTOR('',#28344,10.); #7115=VECTOR('',#28347,1000.); #7116=VECTOR('',#28348,10.); #7117=VECTOR('',#28349,1000.); #7118=VECTOR('',#28350,10.); #7119=VECTOR('',#28353,1000.); #7120=VECTOR('',#28354,1000.); #7121=VECTOR('',#28359,1000.); #7122=VECTOR('',#28360,1000.); #7123=VECTOR('',#28363,1000.); #7124=VECTOR('',#28364,10.); #7125=VECTOR('',#28365,1000.); #7126=VECTOR('',#28366,10.); #7127=VECTOR('',#28369,1000.); #7128=VECTOR('',#28370,10.); #7129=VECTOR('',#28371,1000.); #7130=VECTOR('',#28372,10.); #7131=VECTOR('',#28375,1000.); #7132=VECTOR('',#28376,1000.); #7133=VECTOR('',#28381,1000.); #7134=VECTOR('',#28382,1000.); #7135=VECTOR('',#28385,1000.); #7136=VECTOR('',#28386,10.); #7137=VECTOR('',#28387,1000.); #7138=VECTOR('',#28388,10.); #7139=VECTOR('',#28391,1000.); #7140=VECTOR('',#28392,10.); #7141=VECTOR('',#28393,1000.); #7142=VECTOR('',#28394,10.); #7143=VECTOR('',#28397,1000.); #7144=VECTOR('',#28398,1000.); #7145=VECTOR('',#28403,1000.); #7146=VECTOR('',#28404,1000.); #7147=VECTOR('',#28407,1000.); #7148=VECTOR('',#28408,10.); #7149=VECTOR('',#28409,1000.); #7150=VECTOR('',#28410,10.); #7151=VECTOR('',#28413,1000.); #7152=VECTOR('',#28414,10.); #7153=VECTOR('',#28415,1000.); #7154=VECTOR('',#28416,10.); #7155=VECTOR('',#28419,1000.); #7156=VECTOR('',#28420,1000.); #7157=VECTOR('',#28425,1000.); #7158=VECTOR('',#28426,1000.); #7159=VECTOR('',#28429,1000.); #7160=VECTOR('',#28430,10.); #7161=VECTOR('',#28431,1000.); #7162=VECTOR('',#28432,10.); #7163=VECTOR('',#28435,1000.); #7164=VECTOR('',#28436,10.); #7165=VECTOR('',#28437,1000.); #7166=VECTOR('',#28438,10.); #7167=VECTOR('',#28441,1000.); #7168=VECTOR('',#28442,1000.); #7169=VECTOR('',#28447,1000.); #7170=VECTOR('',#28448,1000.); #7171=VECTOR('',#28451,1000.); #7172=VECTOR('',#28452,10.); #7173=VECTOR('',#28453,1000.); #7174=VECTOR('',#28454,10.); #7175=VECTOR('',#28457,1000.); #7176=VECTOR('',#28458,10.); #7177=VECTOR('',#28459,1000.); #7178=VECTOR('',#28460,10.); #7179=VECTOR('',#28463,1000.); #7180=VECTOR('',#28464,1000.); #7181=VECTOR('',#28469,1000.); #7182=VECTOR('',#28470,1000.); #7183=VECTOR('',#28473,1000.); #7184=VECTOR('',#28474,10.); #7185=VECTOR('',#28475,1000.); #7186=VECTOR('',#28476,10.); #7187=VECTOR('',#28479,1000.); #7188=VECTOR('',#28480,10.); #7189=VECTOR('',#28481,1000.); #7190=VECTOR('',#28482,10.); #7191=VECTOR('',#28485,1000.); #7192=VECTOR('',#28486,1000.); #7193=VECTOR('',#28491,1000.); #7194=VECTOR('',#28492,1000.); #7195=VECTOR('',#28495,1000.); #7196=VECTOR('',#28496,10.); #7197=VECTOR('',#28497,1000.); #7198=VECTOR('',#28498,10.); #7199=VECTOR('',#28501,1000.); #7200=VECTOR('',#28502,10.); #7201=VECTOR('',#28503,1000.); #7202=VECTOR('',#28504,10.); #7203=VECTOR('',#28507,1000.); #7204=VECTOR('',#28508,1000.); #7205=VECTOR('',#28513,1000.); #7206=VECTOR('',#28514,1000.); #7207=VECTOR('',#28517,1000.); #7208=VECTOR('',#28518,10.); #7209=VECTOR('',#28519,1000.); #7210=VECTOR('',#28520,10.); #7211=VECTOR('',#28523,1000.); #7212=VECTOR('',#28524,10.); #7213=VECTOR('',#28525,1000.); #7214=VECTOR('',#28526,10.); #7215=VECTOR('',#28529,1000.); #7216=VECTOR('',#28530,1000.); #7217=VECTOR('',#28535,1000.); #7218=VECTOR('',#28536,1000.); #7219=VECTOR('',#28539,1000.); #7220=VECTOR('',#28540,10.); #7221=VECTOR('',#28541,1000.); #7222=VECTOR('',#28542,10.); #7223=VECTOR('',#28545,1000.); #7224=VECTOR('',#28546,10.); #7225=VECTOR('',#28547,1000.); #7226=VECTOR('',#28548,10.); #7227=VECTOR('',#28551,1000.); #7228=VECTOR('',#28552,1000.); #7229=VECTOR('',#28555,1000.); #7230=VECTOR('',#28558,1000.); #7231=VECTOR('',#28561,1000.); #7232=VECTOR('',#28562,10.); #7233=VECTOR('',#28563,1000.); #7234=VECTOR('',#28564,10.); #7235=VECTOR('',#28567,1000.); #7236=VECTOR('',#28568,10.); #7237=VECTOR('',#28569,1000.); #7238=VECTOR('',#28570,10.); #7239=VECTOR('',#28573,1000.); #7240=VECTOR('',#28574,1000.); #7241=VECTOR('',#28577,1000.); #7242=VECTOR('',#28580,1000.); #7243=VECTOR('',#28583,1000.); #7244=VECTOR('',#28584,10.); #7245=VECTOR('',#28585,1000.); #7246=VECTOR('',#28586,10.); #7247=VECTOR('',#28589,1000.); #7248=VECTOR('',#28590,10.); #7249=VECTOR('',#28591,1000.); #7250=VECTOR('',#28592,10.); #7251=VECTOR('',#28595,1000.); #7252=VECTOR('',#28596,1000.); #7253=VECTOR('',#28599,1000.); #7254=VECTOR('',#28602,1000.); #7255=VECTOR('',#28605,1000.); #7256=VECTOR('',#28606,10.); #7257=VECTOR('',#28607,1000.); #7258=VECTOR('',#28608,10.); #7259=VECTOR('',#28611,1000.); #7260=VECTOR('',#28612,10.); #7261=VECTOR('',#28613,1000.); #7262=VECTOR('',#28614,10.); #7263=VECTOR('',#28617,1000.); #7264=VECTOR('',#28618,1000.); #7265=VECTOR('',#28621,1000.); #7266=VECTOR('',#28624,1000.); #7267=VECTOR('',#28627,1000.); #7268=VECTOR('',#28628,10.); #7269=VECTOR('',#28629,1000.); #7270=VECTOR('',#28630,10.); #7271=VECTOR('',#28633,1000.); #7272=VECTOR('',#28634,10.); #7273=VECTOR('',#28635,1000.); #7274=VECTOR('',#28636,10.); #7275=VECTOR('',#28639,1000.); #7276=VECTOR('',#28640,1000.); #7277=VECTOR('',#28643,1000.); #7278=VECTOR('',#28646,1000.); #7279=VECTOR('',#28649,1000.); #7280=VECTOR('',#28650,10.); #7281=VECTOR('',#28651,1000.); #7282=VECTOR('',#28652,10.); #7283=VECTOR('',#28655,1000.); #7284=VECTOR('',#28656,10.); #7285=VECTOR('',#28657,1000.); #7286=VECTOR('',#28658,10.); #7287=VECTOR('',#28661,1000.); #7288=VECTOR('',#28662,1000.); #7289=VECTOR('',#28665,1000.); #7290=VECTOR('',#28668,1000.); #7291=VECTOR('',#28671,1000.); #7292=VECTOR('',#28672,10.); #7293=VECTOR('',#28673,1000.); #7294=VECTOR('',#28674,10.); #7295=VECTOR('',#28677,1000.); #7296=VECTOR('',#28678,10.); #7297=VECTOR('',#28679,1000.); #7298=VECTOR('',#28680,10.); #7299=VECTOR('',#28683,1000.); #7300=VECTOR('',#28684,1000.); #7301=VECTOR('',#28687,1000.); #7302=VECTOR('',#28690,1000.); #7303=VECTOR('',#28693,1000.); #7304=VECTOR('',#28694,10.); #7305=VECTOR('',#28695,1000.); #7306=VECTOR('',#28696,10.); #7307=VECTOR('',#28699,1000.); #7308=VECTOR('',#28700,10.); #7309=VECTOR('',#28701,1000.); #7310=VECTOR('',#28702,10.); #7311=VECTOR('',#28705,1000.); #7312=VECTOR('',#28706,1000.); #7313=VECTOR('',#28709,1000.); #7314=VECTOR('',#28712,1000.); #7315=VECTOR('',#28715,1000.); #7316=VECTOR('',#28716,10.); #7317=VECTOR('',#28717,1000.); #7318=VECTOR('',#28718,10.); #7319=VECTOR('',#28721,1000.); #7320=VECTOR('',#28722,10.); #7321=VECTOR('',#28723,1000.); #7322=VECTOR('',#28724,10.); #7323=VECTOR('',#28727,1000.); #7324=VECTOR('',#28728,1000.); #7325=VECTOR('',#28731,1000.); #7326=VECTOR('',#28734,1000.); #7327=VECTOR('',#28737,1000.); #7328=VECTOR('',#28738,10.); #7329=VECTOR('',#28739,1000.); #7330=VECTOR('',#28740,10.); #7331=VECTOR('',#28743,1000.); #7332=VECTOR('',#28744,10.); #7333=VECTOR('',#28745,1000.); #7334=VECTOR('',#28746,10.); #7335=VECTOR('',#28749,1000.); #7336=VECTOR('',#28750,1000.); #7337=VECTOR('',#28753,1000.); #7338=VECTOR('',#28756,1000.); #7339=VECTOR('',#28759,1000.); #7340=VECTOR('',#28760,10.); #7341=VECTOR('',#28761,1000.); #7342=VECTOR('',#28762,10.); #7343=VECTOR('',#28765,1000.); #7344=VECTOR('',#28766,10.); #7345=VECTOR('',#28767,1000.); #7346=VECTOR('',#28768,10.); #7347=VECTOR('',#28771,1000.); #7348=VECTOR('',#28772,1000.); #7349=VECTOR('',#28775,1000.); #7350=VECTOR('',#28778,1000.); #7351=VECTOR('',#28781,1000.); #7352=VECTOR('',#28782,10.); #7353=VECTOR('',#28783,1000.); #7354=VECTOR('',#28784,10.); #7355=VECTOR('',#28787,1000.); #7356=VECTOR('',#28788,10.); #7357=VECTOR('',#28789,1000.); #7358=VECTOR('',#28790,10.); #7359=VECTOR('',#28793,1000.); #7360=VECTOR('',#28794,1000.); #7361=VECTOR('',#28799,1000.); #7362=VECTOR('',#28800,1000.); #7363=VECTOR('',#28803,1000.); #7364=VECTOR('',#28804,10.); #7365=VECTOR('',#28805,1000.); #7366=VECTOR('',#28806,10.); #7367=VECTOR('',#28809,1000.); #7368=VECTOR('',#28810,10.); #7369=VECTOR('',#28811,1000.); #7370=VECTOR('',#28812,10.); #7371=VECTOR('',#28815,1000.); #7372=VECTOR('',#28816,1000.); #7373=VECTOR('',#28821,1000.); #7374=VECTOR('',#28822,1000.); #7375=VECTOR('',#28825,1000.); #7376=VECTOR('',#28826,10.); #7377=VECTOR('',#28827,1000.); #7378=VECTOR('',#28828,10.); #7379=VECTOR('',#28831,1000.); #7380=VECTOR('',#28832,10.); #7381=VECTOR('',#28833,1000.); #7382=VECTOR('',#28834,10.); #7383=VECTOR('',#28837,1000.); #7384=VECTOR('',#28838,1000.); #7385=VECTOR('',#28843,1000.); #7386=VECTOR('',#28844,1000.); #7387=VECTOR('',#28847,1000.); #7388=VECTOR('',#28848,10.); #7389=VECTOR('',#28849,1000.); #7390=VECTOR('',#28850,10.); #7391=VECTOR('',#28853,1000.); #7392=VECTOR('',#28854,10.); #7393=VECTOR('',#28855,1000.); #7394=VECTOR('',#28856,10.); #7395=VECTOR('',#28859,1000.); #7396=VECTOR('',#28860,1000.); #7397=VECTOR('',#28865,1000.); #7398=VECTOR('',#28866,1000.); #7399=VECTOR('',#28869,1000.); #7400=VECTOR('',#28870,10.); #7401=VECTOR('',#28871,1000.); #7402=VECTOR('',#28872,10.); #7403=VECTOR('',#28875,1000.); #7404=VECTOR('',#28876,10.); #7405=VECTOR('',#28877,1000.); #7406=VECTOR('',#28878,10.); #7407=VECTOR('',#28881,1000.); #7408=VECTOR('',#28882,1000.); #7409=VECTOR('',#28885,1000.); #7410=VECTOR('',#28888,1000.); #7411=VECTOR('',#28891,1000.); #7412=VECTOR('',#28892,10.); #7413=VECTOR('',#28893,1000.); #7414=VECTOR('',#28894,10.); #7415=VECTOR('',#28897,1000.); #7416=VECTOR('',#28898,10.); #7417=VECTOR('',#28899,1000.); #7418=VECTOR('',#28900,10.); #7419=VECTOR('',#28903,1000.); #7420=VECTOR('',#28904,1000.); #7421=VECTOR('',#28909,1000.); #7422=VECTOR('',#28910,1000.); #7423=VECTOR('',#28913,1000.); #7424=VECTOR('',#28914,10.); #7425=VECTOR('',#28915,1000.); #7426=VECTOR('',#28916,10.); #7427=VECTOR('',#28919,1000.); #7428=VECTOR('',#28920,10.); #7429=VECTOR('',#28921,1000.); #7430=VECTOR('',#28922,10.); #7431=VECTOR('',#28925,1000.); #7432=VECTOR('',#28926,1000.); #7433=VECTOR('',#28931,1000.); #7434=VECTOR('',#28932,1000.); #7435=VECTOR('',#28935,1000.); #7436=VECTOR('',#28936,10.); #7437=VECTOR('',#28937,1000.); #7438=VECTOR('',#28938,10.); #7439=VECTOR('',#28941,1000.); #7440=VECTOR('',#28942,10.); #7441=VECTOR('',#28943,1000.); #7442=VECTOR('',#28944,10.); #7443=VECTOR('',#28947,1000.); #7444=VECTOR('',#28948,1000.); #7445=VECTOR('',#28953,1000.); #7446=VECTOR('',#28954,1000.); #7447=VECTOR('',#28957,1000.); #7448=VECTOR('',#28958,10.); #7449=VECTOR('',#28959,1000.); #7450=VECTOR('',#28960,10.); #7451=VECTOR('',#28963,1000.); #7452=VECTOR('',#28964,10.); #7453=VECTOR('',#28965,1000.); #7454=VECTOR('',#28966,10.); #7455=VECTOR('',#28969,1000.); #7456=VECTOR('',#28970,1000.); #7457=VECTOR('',#28973,1000.); #7458=VECTOR('',#28976,1000.); #7459=VECTOR('',#28979,1000.); #7460=VECTOR('',#28980,10.); #7461=VECTOR('',#28981,1000.); #7462=VECTOR('',#28982,10.); #7463=VECTOR('',#28985,1000.); #7464=VECTOR('',#28986,10.); #7465=VECTOR('',#28987,1000.); #7466=VECTOR('',#28988,10.); #7467=VECTOR('',#28991,1000.); #7468=VECTOR('',#28992,1000.); #7469=VECTOR('',#28997,1000.); #7470=VECTOR('',#28998,1000.); #7471=VECTOR('',#29001,1000.); #7472=VECTOR('',#29002,10.); #7473=VECTOR('',#29003,1000.); #7474=VECTOR('',#29004,10.); #7475=VECTOR('',#29007,1000.); #7476=VECTOR('',#29008,10.); #7477=VECTOR('',#29009,1000.); #7478=VECTOR('',#29010,10.); #7479=VECTOR('',#29013,1000.); #7480=VECTOR('',#29014,1000.); #7481=VECTOR('',#29017,1000.); #7482=VECTOR('',#29020,1000.); #7483=VECTOR('',#29023,1000.); #7484=VECTOR('',#29024,10.); #7485=VECTOR('',#29025,1000.); #7486=VECTOR('',#29026,10.); #7487=VECTOR('',#29029,1000.); #7488=VECTOR('',#29030,10.); #7489=VECTOR('',#29031,1000.); #7490=VECTOR('',#29032,10.); #7491=VECTOR('',#29035,1000.); #7492=VECTOR('',#29036,1000.); #7493=VECTOR('',#29039,1000.); #7494=VECTOR('',#29042,1000.); #7495=VECTOR('',#29045,1000.); #7496=VECTOR('',#29046,10.); #7497=VECTOR('',#29047,1000.); #7498=VECTOR('',#29048,10.); #7499=VECTOR('',#29051,1000.); #7500=VECTOR('',#29052,10.); #7501=VECTOR('',#29053,1000.); #7502=VECTOR('',#29054,10.); #7503=VECTOR('',#29057,1000.); #7504=VECTOR('',#29058,1000.); #7505=VECTOR('',#29061,1000.); #7506=VECTOR('',#29064,1000.); #7507=VECTOR('',#29067,1000.); #7508=VECTOR('',#29068,10.); #7509=VECTOR('',#29069,1000.); #7510=VECTOR('',#29070,10.); #7511=VECTOR('',#29073,1000.); #7512=VECTOR('',#29074,10.); #7513=VECTOR('',#29075,1000.); #7514=VECTOR('',#29076,10.); #7515=VECTOR('',#29079,1000.); #7516=VECTOR('',#29080,1000.); #7517=VECTOR('',#29083,1000.); #7518=VECTOR('',#29086,1000.); #7519=VECTOR('',#29089,1000.); #7520=VECTOR('',#29090,10.); #7521=VECTOR('',#29091,1000.); #7522=VECTOR('',#29092,10.); #7523=VECTOR('',#29095,1000.); #7524=VECTOR('',#29096,10.); #7525=VECTOR('',#29097,1000.); #7526=VECTOR('',#29098,10.); #7527=VECTOR('',#29101,1000.); #7528=VECTOR('',#29102,1000.); #7529=VECTOR('',#29107,1000.); #7530=VECTOR('',#29108,1000.); #7531=VECTOR('',#29111,1000.); #7532=VECTOR('',#29112,1000.); #7533=VECTOR('',#29113,1000.); #7534=VECTOR('',#29114,1000.); #7535=VECTOR('',#29115,1000.); #7536=VECTOR('',#29116,1000.); #7537=VECTOR('',#29117,1000.); #7538=VECTOR('',#29118,1000.); #7539=VECTOR('',#29119,1000.); #7540=VECTOR('',#29120,1000.); #7541=VECTOR('',#29121,1000.); #7542=VECTOR('',#29122,1000.); #7543=VECTOR('',#29123,1000.); #7544=VECTOR('',#29124,1000.); #7545=VECTOR('',#29125,1000.); #7546=VECTOR('',#29126,1000.); #7547=VECTOR('',#29127,1000.); #7548=VECTOR('',#29128,1000.); #7549=VECTOR('',#29129,1000.); #7550=VECTOR('',#29130,1000.); #7551=VECTOR('',#29131,1000.); #7552=VECTOR('',#29132,1000.); #7553=VECTOR('',#29133,1000.); #7554=VECTOR('',#29134,1000.); #7555=VECTOR('',#29135,1000.); #7556=VECTOR('',#29136,1000.); #7557=VECTOR('',#29137,1000.); #7558=VECTOR('',#29138,1000.); #7559=VECTOR('',#29139,1000.); #7560=VECTOR('',#29140,1000.); #7561=VECTOR('',#29141,1000.); #7562=VECTOR('',#29142,1000.); #7563=VECTOR('',#29143,1000.); #7564=VECTOR('',#29144,1000.); #7565=VECTOR('',#29145,1000.); #7566=VECTOR('',#29146,1000.); #7567=VECTOR('',#29147,1000.); #7568=VECTOR('',#29148,1000.); #7569=VECTOR('',#29149,1000.); #7570=VECTOR('',#29150,1000.); #7571=VECTOR('',#29151,1000.); #7572=VECTOR('',#29152,1000.); #7573=VECTOR('',#29153,1000.); #7574=VECTOR('',#29154,1000.); #7575=VECTOR('',#29155,1000.); #7576=VECTOR('',#29160,1000.); #7577=VECTOR('',#29161,1000.); #7578=VECTOR('',#29166,1000.); #7579=VECTOR('',#29167,1000.); #7580=VECTOR('',#29172,1000.); #7581=VECTOR('',#29173,1000.); #7582=VECTOR('',#29178,1000.); #7583=VECTOR('',#29179,1000.); #7584=VECTOR('',#29184,1000.); #7585=VECTOR('',#29185,1000.); #7586=VECTOR('',#29190,1000.); #7587=VECTOR('',#29191,1000.); #7588=VECTOR('',#29196,1000.); #7589=VECTOR('',#29197,1000.); #7590=VECTOR('',#29202,1000.); #7591=VECTOR('',#29203,1000.); #7592=VECTOR('',#29208,1000.); #7593=VECTOR('',#29209,1000.); #7594=VECTOR('',#29212,1000.); #7595=VECTOR('',#29215,1000.); #7596=VECTOR('',#29218,1000.); #7597=VECTOR('',#29221,1000.); #7598=VECTOR('',#29224,1000.); #7599=VECTOR('',#29227,1000.); #7600=VECTOR('',#29230,1000.); #7601=VECTOR('',#29233,1000.); #7602=VECTOR('',#29236,1000.); #7603=VECTOR('',#29237,1000.); #7604=VECTOR('',#29240,1000.); #7605=VECTOR('',#29241,1000.); #7606=VECTOR('',#29242,1000.); #7607=VECTOR('',#29243,1000.); #7608=VECTOR('',#29244,1000.); #7609=VECTOR('',#29245,1000.); #7610=VECTOR('',#29246,1000.); #7611=VECTOR('',#29247,1000.); #7612=VECTOR('',#29248,1000.); #7613=VECTOR('',#29249,1000.); #7614=VECTOR('',#29250,1000.); #7615=VECTOR('',#29251,1000.); #7616=VECTOR('',#29252,1000.); #7617=VECTOR('',#29253,1000.); #7618=VECTOR('',#29254,1000.); #7619=VECTOR('',#29255,1000.); #7620=VECTOR('',#29256,1000.); #7621=VECTOR('',#29257,1000.); #7622=VECTOR('',#29258,1000.); #7623=VECTOR('',#29259,1000.); #7624=VECTOR('',#29260,1000.); #7625=VECTOR('',#29261,1000.); #7626=VECTOR('',#29262,1000.); #7627=VECTOR('',#29263,1000.); #7628=VECTOR('',#29264,1000.); #7629=VECTOR('',#29265,1000.); #7630=VECTOR('',#29266,1000.); #7631=VECTOR('',#29267,1000.); #7632=VECTOR('',#29268,1000.); #7633=VECTOR('',#29269,1000.); #7634=VECTOR('',#29270,1000.); #7635=VECTOR('',#29271,1000.); #7636=VECTOR('',#29272,1000.); #7637=VECTOR('',#29273,1000.); #7638=VECTOR('',#29274,1000.); #7639=VECTOR('',#29275,1000.); #7640=VECTOR('',#29276,1000.); #7641=VECTOR('',#29277,1000.); #7642=VECTOR('',#29278,1000.); #7643=VECTOR('',#29279,1000.); #7644=VECTOR('',#29280,1000.); #7645=VECTOR('',#29281,1000.); #7646=VECTOR('',#29282,1000.); #7647=VECTOR('',#29283,1000.); #7648=VECTOR('',#29284,1000.); #7649=VECTOR('',#29285,1000.); #7650=VECTOR('',#29286,1000.); #7651=VECTOR('',#29287,1000.); #7652=VECTOR('',#29288,1000.); #7653=VECTOR('',#29289,1000.); #7654=VECTOR('',#29290,1000.); #7655=VECTOR('',#29291,1000.); #7656=VECTOR('',#29292,1000.); #7657=VECTOR('',#29293,1000.); #7658=VECTOR('',#29294,1000.); #7659=VECTOR('',#29295,1000.); #7660=VECTOR('',#29296,1000.); #7661=VECTOR('',#29297,1000.); #7662=VECTOR('',#29298,1000.); #7663=VECTOR('',#29299,1000.); #7664=VECTOR('',#29300,1000.); #7665=VECTOR('',#29301,1000.); #7666=VECTOR('',#29302,1000.); #7667=VECTOR('',#29303,1000.); #7668=VECTOR('',#29304,1000.); #7669=VECTOR('',#29305,1000.); #7670=VECTOR('',#29306,1000.); #7671=VECTOR('',#29307,1000.); #7672=VECTOR('',#29308,1000.); #7673=VECTOR('',#29309,1000.); #7674=VECTOR('',#29310,1000.); #7675=VECTOR('',#29311,1000.); #7676=VECTOR('',#29312,1000.); #7677=VECTOR('',#29313,1000.); #7678=VECTOR('',#29314,1000.); #7679=VECTOR('',#29315,1000.); #7680=VECTOR('',#29316,1000.); #7681=VECTOR('',#29317,1000.); #7682=VECTOR('',#29318,1000.); #7683=VECTOR('',#29319,1000.); #7684=VECTOR('',#29320,1000.); #7685=VECTOR('',#29321,1000.); #7686=VECTOR('',#29322,1000.); #7687=VECTOR('',#29323,1000.); #7688=VECTOR('',#29324,1000.); #7689=VECTOR('',#29325,1000.); #7690=VECTOR('',#29326,1000.); #7691=VECTOR('',#29327,1000.); #7692=VECTOR('',#29328,1000.); #7693=VECTOR('',#29329,1000.); #7694=VECTOR('',#29330,1000.); #7695=VECTOR('',#29331,1000.); #7696=VECTOR('',#29332,1000.); #7697=VECTOR('',#29333,1000.); #7698=VECTOR('',#29334,1000.); #7699=VECTOR('',#29335,1000.); #7700=VECTOR('',#29336,1000.); #7701=VECTOR('',#29337,1000.); #7702=VECTOR('',#29338,1000.); #7703=VECTOR('',#29339,1000.); #7704=VECTOR('',#29340,1000.); #7705=VECTOR('',#29341,1000.); #7706=VECTOR('',#29342,1000.); #7707=VECTOR('',#29343,1000.); #7708=VECTOR('',#29344,1000.); #7709=VECTOR('',#29345,1000.); #7710=VECTOR('',#29346,1000.); #7711=VECTOR('',#29347,1000.); #7712=VECTOR('',#29348,1000.); #7713=VECTOR('',#29349,1000.); #7714=VECTOR('',#29350,1000.); #7715=VECTOR('',#29351,1000.); #7716=VECTOR('',#29352,1000.); #7717=VECTOR('',#29353,1000.); #7718=VECTOR('',#29354,1000.); #7719=VECTOR('',#29355,1000.); #7720=VECTOR('',#29356,1000.); #7721=VECTOR('',#29357,1000.); #7722=VECTOR('',#29358,1000.); #7723=VECTOR('',#29359,1000.); #7724=VECTOR('',#29360,1000.); #7725=VECTOR('',#29361,1000.); #7726=VECTOR('',#29362,1000.); #7727=VECTOR('',#29363,1000.); #7728=VECTOR('',#29364,1000.); #7729=VECTOR('',#29365,1000.); #7730=VECTOR('',#29366,1000.); #7731=VECTOR('',#29367,1000.); #7732=VECTOR('',#29368,1000.); #7733=VECTOR('',#29369,1000.); #7734=VECTOR('',#29370,1000.); #7735=VECTOR('',#29371,1000.); #7736=VECTOR('',#29372,1000.); #7737=VECTOR('',#29373,1000.); #7738=VECTOR('',#29374,1000.); #7739=VECTOR('',#29375,1000.); #7740=VECTOR('',#29378,1000.); #7741=VECTOR('',#29379,1000.); #7742=VECTOR('',#29380,1000.); #7743=VECTOR('',#29381,1000.); #7744=VECTOR('',#29382,1000.); #7745=VECTOR('',#29383,1000.); #7746=VECTOR('',#29386,1000.); #7747=VECTOR('',#29387,1000.); #7748=VECTOR('',#29388,1000.); #7749=VECTOR('',#29389,1000.); #7750=VECTOR('',#29390,1000.); #7751=VECTOR('',#29391,1000.); #7752=VECTOR('',#29394,1000.); #7753=VECTOR('',#29395,1000.); #7754=VECTOR('',#29396,1000.); #7755=VECTOR('',#29397,1000.); #7756=VECTOR('',#29398,1000.); #7757=VECTOR('',#29399,1000.); #7758=VECTOR('',#29402,1000.); #7759=VECTOR('',#29403,1000.); #7760=VECTOR('',#29404,1000.); #7761=VECTOR('',#29405,1000.); #7762=VECTOR('',#29406,1000.); #7763=VECTOR('',#29407,1000.); #7764=VECTOR('',#29410,1000.); #7765=VECTOR('',#29411,1000.); #7766=VECTOR('',#29412,1000.); #7767=VECTOR('',#29413,1000.); #7768=VECTOR('',#29414,1000.); #7769=VECTOR('',#29415,1000.); #7770=VECTOR('',#29418,1000.); #7771=VECTOR('',#29419,1000.); #7772=VECTOR('',#29420,1000.); #7773=VECTOR('',#29421,1000.); #7774=VECTOR('',#29422,1000.); #7775=VECTOR('',#29423,1000.); #7776=VECTOR('',#29426,1000.); #7777=VECTOR('',#29427,1000.); #7778=VECTOR('',#29428,1000.); #7779=VECTOR('',#29429,1000.); #7780=VECTOR('',#29430,1000.); #7781=VECTOR('',#29431,1000.); #7782=VECTOR('',#29434,1000.); #7783=VECTOR('',#29435,1000.); #7784=VECTOR('',#29436,1000.); #7785=VECTOR('',#29437,1000.); #7786=VECTOR('',#29438,1000.); #7787=VECTOR('',#29439,1000.); #7788=VECTOR('',#29442,1000.); #7789=VECTOR('',#29443,1000.); #7790=VECTOR('',#29444,1000.); #7791=VECTOR('',#29445,1000.); #7792=VECTOR('',#29446,1000.); #7793=VECTOR('',#29447,1000.); #7794=VECTOR('',#29450,1000.); #7795=VECTOR('',#29451,1000.); #7796=VECTOR('',#29452,1000.); #7797=VECTOR('',#29453,1000.); #7798=VECTOR('',#29454,1000.); #7799=VECTOR('',#29455,1000.); #7800=VECTOR('',#29458,1000.); #7801=VECTOR('',#29459,1000.); #7802=VECTOR('',#29460,1000.); #7803=VECTOR('',#29461,1000.); #7804=VECTOR('',#29462,1000.); #7805=VECTOR('',#29463,1000.); #7806=VECTOR('',#29466,1000.); #7807=VECTOR('',#29467,1000.); #7808=VECTOR('',#29468,1000.); #7809=VECTOR('',#29469,1000.); #7810=VECTOR('',#29470,1000.); #7811=VECTOR('',#29471,1000.); #7812=VECTOR('',#29474,1000.); #7813=VECTOR('',#29475,1000.); #7814=VECTOR('',#29476,1000.); #7815=VECTOR('',#29477,1000.); #7816=VECTOR('',#29478,1000.); #7817=VECTOR('',#29479,1000.); #7818=VECTOR('',#29482,1000.); #7819=VECTOR('',#29483,1000.); #7820=VECTOR('',#29484,1000.); #7821=VECTOR('',#29485,1000.); #7822=VECTOR('',#29486,1000.); #7823=VECTOR('',#29487,1000.); #7824=VECTOR('',#29490,1000.); #7825=VECTOR('',#29491,1000.); #7826=VECTOR('',#29492,1000.); #7827=VECTOR('',#29493,1000.); #7828=VECTOR('',#29494,1000.); #7829=VECTOR('',#29495,1000.); #7830=VECTOR('',#29498,1000.); #7831=VECTOR('',#29499,1000.); #7832=VECTOR('',#29500,1000.); #7833=VECTOR('',#29501,10.); #7834=VECTOR('',#29504,1000.); #7835=VECTOR('',#29505,1000.); #7836=VECTOR('',#29506,1000.); #7837=VECTOR('',#29507,1000.); #7838=VECTOR('',#29508,1000.); #7839=VECTOR('',#29509,1000.); #7840=VECTOR('',#29512,1000.); #7841=VECTOR('',#29513,1000.); #7842=VECTOR('',#29514,1000.); #7843=VECTOR('',#29515,1000.); #7844=VECTOR('',#29516,1000.); #7845=VECTOR('',#29517,1000.); #7846=VECTOR('',#29520,1000.); #7847=VECTOR('',#29521,1000.); #7848=VECTOR('',#29522,1000.); #7849=VECTOR('',#29523,1000.); #7850=VECTOR('',#29524,1000.); #7851=VECTOR('',#29525,1000.); #7852=VECTOR('',#29528,1000.); #7853=VECTOR('',#29529,1000.); #7854=VECTOR('',#29530,1000.); #7855=VECTOR('',#29531,1000.); #7856=VECTOR('',#29532,1000.); #7857=VECTOR('',#29533,1000.); #7858=VECTOR('',#29536,1000.); #7859=VECTOR('',#29537,1000.); #7860=VECTOR('',#29538,1000.); #7861=VECTOR('',#29539,1000.); #7862=VECTOR('',#29540,1000.); #7863=VECTOR('',#29541,1000.); #7864=VECTOR('',#29544,1000.); #7865=VECTOR('',#29545,1000.); #7866=VECTOR('',#29546,1000.); #7867=VECTOR('',#29547,1000.); #7868=VECTOR('',#29548,1000.); #7869=VECTOR('',#29549,1000.); #7870=VECTOR('',#29552,1000.); #7871=VECTOR('',#29553,1000.); #7872=VECTOR('',#29554,1000.); #7873=VECTOR('',#29555,1000.); #7874=VECTOR('',#29556,1000.); #7875=VECTOR('',#29557,1000.); #7876=VECTOR('',#29560,1000.); #7877=VECTOR('',#29561,1000.); #7878=VECTOR('',#29562,1000.); #7879=VECTOR('',#29563,1000.); #7880=VECTOR('',#29564,1000.); #7881=VECTOR('',#29565,1000.); #7882=VECTOR('',#29568,1000.); #7883=VECTOR('',#29569,1000.); #7884=VECTOR('',#29570,1000.); #7885=VECTOR('',#29571,1000.); #7886=VECTOR('',#29572,1000.); #7887=VECTOR('',#29573,1000.); #7888=VECTOR('',#29576,1000.); #7889=VECTOR('',#29577,1000.); #7890=VECTOR('',#29578,1000.); #7891=VECTOR('',#29579,1000.); #7892=VECTOR('',#29580,1000.); #7893=VECTOR('',#29581,1000.); #7894=VECTOR('',#29584,1000.); #7895=VECTOR('',#29585,1000.); #7896=VECTOR('',#29586,1000.); #7897=VECTOR('',#29587,10.); #7898=VECTOR('',#29590,1000.); #7899=VECTOR('',#29591,1000.); #7900=VECTOR('',#29592,1000.); #7901=VECTOR('',#29593,1000.); #7902=VECTOR('',#29594,1000.); #7903=VECTOR('',#29595,1000.); #7904=VECTOR('',#29598,1000.); #7905=VECTOR('',#29599,1000.); #7906=VECTOR('',#29600,1000.); #7907=VECTOR('',#29601,1000.); #7908=VECTOR('',#29602,1000.); #7909=VECTOR('',#29603,1000.); #7910=VECTOR('',#29606,1000.); #7911=VECTOR('',#29607,1000.); #7912=VECTOR('',#29608,1000.); #7913=VECTOR('',#29609,1000.); #7914=VECTOR('',#29610,1000.); #7915=VECTOR('',#29611,1000.); #7916=VECTOR('',#29614,1000.); #7917=VECTOR('',#29615,1000.); #7918=VECTOR('',#29616,1000.); #7919=VECTOR('',#29617,1000.); #7920=VECTOR('',#29618,1000.); #7921=VECTOR('',#29619,1000.); #7922=VECTOR('',#29622,1000.); #7923=VECTOR('',#29623,1000.); #7924=VECTOR('',#29624,1000.); #7925=VECTOR('',#29625,1000.); #7926=VECTOR('',#29626,1000.); #7927=VECTOR('',#29627,1000.); #7928=VECTOR('',#29630,10.); #7929=VECTOR('',#29633,1000.); #7930=VECTOR('',#29634,1000.); #7931=VECTOR('',#29635,1000.); #7932=VECTOR('',#29638,1000.); #7933=VECTOR('',#29639,1000.); #7934=VECTOR('',#29640,1000.); #7935=VECTOR('',#29643,1000.); #7936=VECTOR('',#29644,1000.); #7937=VECTOR('',#29647,1000.); #7938=VECTOR('',#29648,1000.); #7939=VECTOR('',#29651,1000.); #7940=VECTOR('',#29658,1000.); #7941=VECTOR('',#29659,1000.); #7942=VECTOR('',#29662,1000.); #7943=VECTOR('',#29663,1000.); #7944=VECTOR('',#29666,1000.); #7945=VECTOR('',#29673,1000.); #7946=VECTOR('',#29674,1000.); #7947=VECTOR('',#29677,1000.); #7948=VECTOR('',#29678,1000.); #7949=VECTOR('',#29681,1000.); #7950=VECTOR('',#29688,1000.); #7951=VECTOR('',#29689,1000.); #7952=VECTOR('',#29692,1000.); #7953=VECTOR('',#29693,1000.); #7954=VECTOR('',#29696,1000.); #7955=VECTOR('',#29703,1000.); #7956=VECTOR('',#29704,1000.); #7957=VECTOR('',#29707,1000.); #7958=VECTOR('',#29708,1000.); #7959=VECTOR('',#29711,1000.); #7960=VECTOR('',#29718,1000.); #7961=VECTOR('',#29719,1000.); #7962=VECTOR('',#29722,1000.); #7963=VECTOR('',#29723,1000.); #7964=VECTOR('',#29726,1000.); #7965=VECTOR('',#29733,1000.); #7966=VECTOR('',#29734,1000.); #7967=VECTOR('',#29737,1000.); #7968=VECTOR('',#29738,1000.); #7969=VECTOR('',#29741,1000.); #7970=VECTOR('',#29748,1000.); #7971=VECTOR('',#29749,1000.); #7972=VECTOR('',#29752,1000.); #7973=VECTOR('',#29753,1000.); #7974=VECTOR('',#29756,1000.); #7975=VECTOR('',#29763,1000.); #7976=VECTOR('',#29764,1000.); #7977=VECTOR('',#29767,1000.); #7978=VECTOR('',#29768,1000.); #7979=VECTOR('',#29771,1000.); #7980=VECTOR('',#29778,1000.); #7981=VECTOR('',#29779,1000.); #7982=VECTOR('',#29782,1000.); #7983=VECTOR('',#29783,1000.); #7984=VECTOR('',#29786,1000.); #7985=VECTOR('',#29793,1000.); #7986=VECTOR('',#29794,1000.); #7987=VECTOR('',#29797,1000.); #7988=VECTOR('',#29798,1000.); #7989=VECTOR('',#29801,1000.); #7990=VECTOR('',#29808,1000.); #7991=VECTOR('',#29809,1000.); #7992=VECTOR('',#29812,1000.); #7993=VECTOR('',#29813,1000.); #7994=VECTOR('',#29816,1000.); #7995=VECTOR('',#29823,1000.); #7996=VECTOR('',#29824,1000.); #7997=VECTOR('',#29827,1000.); #7998=VECTOR('',#29828,1000.); #7999=VECTOR('',#29831,1000.); #8000=VECTOR('',#29838,1000.); #8001=VECTOR('',#29839,1000.); #8002=VECTOR('',#29842,1000.); #8003=VECTOR('',#29843,1000.); #8004=VECTOR('',#29846,1000.); #8005=VECTOR('',#29853,1000.); #8006=VECTOR('',#29854,1000.); #8007=VECTOR('',#29857,1000.); #8008=VECTOR('',#29858,1000.); #8009=VECTOR('',#29861,1000.); #8010=VECTOR('',#29868,1000.); #8011=VECTOR('',#29869,1000.); #8012=VECTOR('',#29872,1000.); #8013=VECTOR('',#29873,1000.); #8014=VECTOR('',#29876,1000.); #8015=VECTOR('',#29883,1000.); #8016=VECTOR('',#29884,1000.); #8017=VECTOR('',#29887,1000.); #8018=VECTOR('',#29888,1000.); #8019=VECTOR('',#29891,1000.); #8020=VECTOR('',#29898,1000.); #8021=VECTOR('',#29899,1000.); #8022=VECTOR('',#29902,1000.); #8023=VECTOR('',#29903,1000.); #8024=VECTOR('',#29906,1000.); #8025=VECTOR('',#29913,1000.); #8026=VECTOR('',#29914,1000.); #8027=VECTOR('',#29917,1000.); #8028=VECTOR('',#29918,1000.); #8029=VECTOR('',#29921,1000.); #8030=VECTOR('',#29928,1000.); #8031=VECTOR('',#29929,1000.); #8032=VECTOR('',#29932,1000.); #8033=VECTOR('',#29933,1000.); #8034=VECTOR('',#29936,1000.); #8035=VECTOR('',#29943,1000.); #8036=VECTOR('',#29944,1000.); #8037=VECTOR('',#29947,1000.); #8038=VECTOR('',#29948,1000.); #8039=VECTOR('',#29951,1000.); #8040=VECTOR('',#29958,1000.); #8041=VECTOR('',#29959,1000.); #8042=VECTOR('',#29962,1000.); #8043=VECTOR('',#29963,1000.); #8044=VECTOR('',#29966,1000.); #8045=VECTOR('',#29973,1000.); #8046=VECTOR('',#29974,1000.); #8047=VECTOR('',#29977,1000.); #8048=VECTOR('',#29978,1000.); #8049=VECTOR('',#29981,1000.); #8050=VECTOR('',#29988,1000.); #8051=VECTOR('',#29989,1000.); #8052=VECTOR('',#29992,1000.); #8053=VECTOR('',#29993,1000.); #8054=VECTOR('',#29996,1000.); #8055=VECTOR('',#30003,1000.); #8056=VECTOR('',#30004,1000.); #8057=VECTOR('',#30007,1000.); #8058=VECTOR('',#30008,1000.); #8059=VECTOR('',#30011,1000.); #8060=VECTOR('',#30018,1000.); #8061=VECTOR('',#30019,1000.); #8062=VECTOR('',#30022,1000.); #8063=VECTOR('',#30023,1000.); #8064=VECTOR('',#30026,1000.); #8065=VECTOR('',#30033,1000.); #8066=VECTOR('',#30034,1000.); #8067=VECTOR('',#30037,1000.); #8068=VECTOR('',#30038,1000.); #8069=VECTOR('',#30041,1000.); #8070=VECTOR('',#30048,1000.); #8071=VECTOR('',#30049,1000.); #8072=VECTOR('',#30052,1000.); #8073=VECTOR('',#30053,1000.); #8074=VECTOR('',#30056,1000.); #8075=VECTOR('',#30063,1000.); #8076=VECTOR('',#30064,1000.); #8077=VECTOR('',#30067,1000.); #8078=VECTOR('',#30068,1000.); #8079=VECTOR('',#30071,1000.); #8080=VECTOR('',#30078,1000.); #8081=VECTOR('',#30079,1000.); #8082=VECTOR('',#30082,1000.); #8083=VECTOR('',#30083,1000.); #8084=VECTOR('',#30086,1000.); #8085=VECTOR('',#30093,1000.); #8086=VECTOR('',#30094,1000.); #8087=VECTOR('',#30097,1000.); #8088=VECTOR('',#30098,1000.); #8089=VECTOR('',#30101,1000.); #8090=VECTOR('',#30108,1000.); #8091=VECTOR('',#30109,1000.); #8092=VECTOR('',#30112,1000.); #8093=VECTOR('',#30113,1000.); #8094=VECTOR('',#30116,1000.); #8095=VECTOR('',#30187,1000.); #8096=VECTOR('',#30188,1000.); #8097=VECTOR('',#30189,1000.); #8098=VECTOR('',#30190,1000.); #8099=VECTOR('',#30191,1000.); #8100=VECTOR('',#30192,1000.); #8101=VECTOR('',#30193,1000.); #8102=VECTOR('',#30194,1000.); #8103=VECTOR('',#30197,1000.); #8104=VECTOR('',#30198,1000.); #8105=VECTOR('',#30201,1000.); #8106=VECTOR('',#30204,1000.); #8107=VECTOR('',#30209,1000.); #8108=VECTOR('',#30210,1000.); #8109=VECTOR('',#30211,1000.); #8110=VECTOR('',#30214,1000.); #8111=VECTOR('',#30215,1000.); #8112=VECTOR('',#30218,1000.); #8113=VECTOR('',#30219,1000.); #8114=VECTOR('',#30222,1000.); #8115=VECTOR('',#30231,1000.); #8116=VECTOR('',#30234,1000.); #8117=VECTOR('',#30237,1000.); #8118=VECTOR('',#30238,1000.); #8119=VECTOR('',#30239,1000.); #8120=VECTOR('',#30242,1000.); #8121=VECTOR('',#30243,1000.); #8122=VECTOR('',#30244,1000.); #8123=VECTOR('',#30247,1000.); #8124=VECTOR('',#30248,1000.); #8125=VECTOR('',#30249,1000.); #8126=VECTOR('',#30252,1000.); #8127=VECTOR('',#30253,1000.); #8128=VECTOR('',#30254,1000.); #8129=VECTOR('',#30257,1000.); #8130=VECTOR('',#30258,1000.); #8131=VECTOR('',#30267,1000.); #8132=VECTOR('',#30270,1000.); #8133=VECTOR('',#30273,1000.); #8134=VECTOR('',#30274,1000.); #8135=VECTOR('',#30275,1000.); #8136=VECTOR('',#30278,1000.); #8137=VECTOR('',#30279,1000.); #8138=VECTOR('',#30280,1000.); #8139=VECTOR('',#30283,1000.); #8140=VECTOR('',#30284,1000.); #8141=VECTOR('',#30285,1000.); #8142=VECTOR('',#30288,1000.); #8143=VECTOR('',#30289,1000.); #8144=VECTOR('',#30290,1000.); #8145=VECTOR('',#30293,1000.); #8146=VECTOR('',#30294,1000.); #8147=VECTOR('',#30303,1000.); #8148=VECTOR('',#30306,1000.); #8149=VECTOR('',#30309,1000.); #8150=VECTOR('',#30310,1000.); #8151=VECTOR('',#30311,1000.); #8152=VECTOR('',#30314,1000.); #8153=VECTOR('',#30315,1000.); #8154=VECTOR('',#30316,1000.); #8155=VECTOR('',#30319,1000.); #8156=VECTOR('',#30320,1000.); #8157=VECTOR('',#30321,1000.); #8158=VECTOR('',#30324,1000.); #8159=VECTOR('',#30325,1000.); #8160=VECTOR('',#30326,1000.); #8161=VECTOR('',#30329,1000.); #8162=VECTOR('',#30330,1000.); #8163=VECTOR('',#30339,1000.); #8164=VECTOR('',#30342,1000.); #8165=VECTOR('',#30345,1000.); #8166=VECTOR('',#30346,1000.); #8167=VECTOR('',#30347,1000.); #8168=VECTOR('',#30350,1000.); #8169=VECTOR('',#30351,1000.); #8170=VECTOR('',#30352,1000.); #8171=VECTOR('',#30355,1000.); #8172=VECTOR('',#30356,1000.); #8173=VECTOR('',#30357,1000.); #8174=VECTOR('',#30360,1000.); #8175=VECTOR('',#30361,1000.); #8176=VECTOR('',#30362,1000.); #8177=VECTOR('',#30365,1000.); #8178=VECTOR('',#30366,1000.); #8179=VECTOR('',#30375,1000.); #8180=VECTOR('',#30378,1000.); #8181=VECTOR('',#30381,1000.); #8182=VECTOR('',#30382,1000.); #8183=VECTOR('',#30383,1000.); #8184=VECTOR('',#30386,1000.); #8185=VECTOR('',#30387,1000.); #8186=VECTOR('',#30388,1000.); #8187=VECTOR('',#30391,1000.); #8188=VECTOR('',#30392,1000.); #8189=VECTOR('',#30393,1000.); #8190=VECTOR('',#30396,1000.); #8191=VECTOR('',#30397,1000.); #8192=VECTOR('',#30398,1000.); #8193=VECTOR('',#30401,1000.); #8194=VECTOR('',#30402,1000.); #8195=VECTOR('',#30411,1000.); #8196=VECTOR('',#30414,1000.); #8197=VECTOR('',#30417,1000.); #8198=VECTOR('',#30418,1000.); #8199=VECTOR('',#30419,1000.); #8200=VECTOR('',#30422,1000.); #8201=VECTOR('',#30423,1000.); #8202=VECTOR('',#30424,1000.); #8203=VECTOR('',#30427,1000.); #8204=VECTOR('',#30428,1000.); #8205=VECTOR('',#30429,1000.); #8206=VECTOR('',#30432,1000.); #8207=VECTOR('',#30433,1000.); #8208=VECTOR('',#30434,1000.); #8209=VECTOR('',#30437,1000.); #8210=VECTOR('',#30438,1000.); #8211=VECTOR('',#30447,1000.); #8212=VECTOR('',#30450,1000.); #8213=VECTOR('',#30453,1000.); #8214=VECTOR('',#30454,1000.); #8215=VECTOR('',#30455,1000.); #8216=VECTOR('',#30458,1000.); #8217=VECTOR('',#30459,1000.); #8218=VECTOR('',#30460,1000.); #8219=VECTOR('',#30463,1000.); #8220=VECTOR('',#30464,1000.); #8221=VECTOR('',#30465,1000.); #8222=VECTOR('',#30468,1000.); #8223=VECTOR('',#30469,1000.); #8224=VECTOR('',#30470,1000.); #8225=VECTOR('',#30473,1000.); #8226=VECTOR('',#30474,1000.); #8227=VECTOR('',#30483,1000.); #8228=VECTOR('',#30486,1000.); #8229=VECTOR('',#30489,1000.); #8230=VECTOR('',#30490,1000.); #8231=VECTOR('',#30491,1000.); #8232=VECTOR('',#30494,1000.); #8233=VECTOR('',#30495,1000.); #8234=VECTOR('',#30496,1000.); #8235=VECTOR('',#30499,1000.); #8236=VECTOR('',#30500,1000.); #8237=VECTOR('',#30501,1000.); #8238=VECTOR('',#30504,1000.); #8239=VECTOR('',#30505,1000.); #8240=VECTOR('',#30506,1000.); #8241=VECTOR('',#30509,1000.); #8242=VECTOR('',#30510,1000.); #8243=VECTOR('',#30519,10.); #8244=VECTOR('',#30520,10.); #8245=VECTOR('',#30521,10.); #8246=VECTOR('',#30522,10.); #8247=VECTOR('',#30527,10.); #8248=VECTOR('',#30532,10.); #8249=VECTOR('',#30533,10.); #8250=VECTOR('',#30534,10.); #8251=VECTOR('',#30539,10.); #8252=VECTOR('',#30546,10.); #8253=VECTOR('',#30551,10.); #8254=VECTOR('',#30552,10.); #8255=VECTOR('',#30553,10.); #8256=VECTOR('',#30558,10.); #8257=VECTOR('',#30565,10.); #8258=VECTOR('',#30572,10.); #8259=VECTOR('',#30579,10.); #8260=VECTOR('',#30584,10.); #8261=VECTOR('',#30585,10.); #8262=VECTOR('',#30590,10.); #8263=VECTOR('',#30593,10.); #8264=VECTOR('',#30598,10.); #8265=VECTOR('',#30603,10.); #8266=VECTOR('',#30604,10.); #8267=VECTOR('',#30605,10.); #8268=VECTOR('',#30610,10.); #8269=VECTOR('',#30615,10.); #8270=VECTOR('',#30616,10.); #8271=VECTOR('',#30617,10.); #8272=VECTOR('',#30620,10.); #8273=VECTOR('',#30621,10.); #8274=VECTOR('',#30622,10.); #8275=VECTOR('',#30627,10.); #8276=VECTOR('',#30634,10.); #8277=VECTOR('',#30641,10.); #8278=VECTOR('',#30648,10.); #8279=VECTOR('',#30653,10.); #8280=VECTOR('',#30654,10.); #8281=VECTOR('',#30661,10.); #8282=VECTOR('',#30664,10.); #8283=VECTOR('',#30667,10.); #8284=VECTOR('',#30668,10.); #8285=VECTOR('',#30669,10.); #8286=VECTOR('',#30672,10.); #8287=VECTOR('',#30673,10.); #8288=VECTOR('',#30674,10.); #8289=VECTOR('',#30677,10.); #8290=VECTOR('',#30678,10.); #8291=VECTOR('',#30679,10.); #8292=VECTOR('',#30682,10.); #8293=VECTOR('',#30683,10.); #8294=VECTOR('',#30684,10.); #8295=VECTOR('',#30687,10.); #8296=VECTOR('',#30688,10.); #8297=VECTOR('',#30689,10.); #8298=VECTOR('',#30692,10.); #8299=VECTOR('',#30693,10.); #8300=VECTOR('',#30694,10.); #8301=VECTOR('',#30697,10.); #8302=VECTOR('',#30698,10.); #8303=VECTOR('',#30699,10.); #8304=VECTOR('',#30702,10.); #8305=VECTOR('',#30703,10.); #8306=VECTOR('',#30704,10.); #8307=VECTOR('',#30709,10.); #8308=VECTOR('',#30714,10.); #8309=VECTOR('',#30715,10.); #8310=VECTOR('',#30716,10.); #8311=VECTOR('',#30719,10.); #8312=VECTOR('',#30720,10.); #8313=VECTOR('',#30721,10.); #8314=VECTOR('',#30726,10.); #8315=VECTOR('',#30733,10.); #8316=VECTOR('',#30738,10.); #8317=VECTOR('',#30739,10.); #8318=VECTOR('',#30740,10.); #8319=VECTOR('',#30745,10.); #8320=VECTOR('',#30750,10.); #8321=VECTOR('',#30751,10.); #8322=VECTOR('',#30752,10.); #8323=VECTOR('',#30755,10.); #8324=VECTOR('',#30756,10.); #8325=VECTOR('',#30757,10.); #8326=VECTOR('',#30760,10.); #8327=VECTOR('',#30761,10.); #8328=VECTOR('',#30762,10.); #8329=VECTOR('',#30765,10.); #8330=VECTOR('',#30766,10.); #8331=VECTOR('',#30767,10.); #8332=VECTOR('',#30770,10.); #8333=VECTOR('',#30771,10.); #8334=VECTOR('',#30772,10.); #8335=VECTOR('',#30775,10.); #8336=VECTOR('',#30776,10.); #8337=VECTOR('',#30777,10.); #8338=VECTOR('',#30780,10.); #8339=VECTOR('',#30781,10.); #8340=VECTOR('',#30782,10.); #8341=VECTOR('',#30785,10.); #8342=VECTOR('',#30786,10.); #8343=VECTOR('',#30787,10.); #8344=VECTOR('',#30790,10.); #8345=VECTOR('',#30791,10.); #8346=VECTOR('',#30792,10.); #8347=VECTOR('',#30797,10.); #8348=VECTOR('',#30802,10.); #8349=VECTOR('',#30803,10.); #8350=VECTOR('',#30804,10.); #8351=VECTOR('',#30807,10.); #8352=VECTOR('',#30808,10.); #8353=VECTOR('',#30809,10.); #8354=VECTOR('',#30812,10.); #8355=VECTOR('',#30813,10.); #8356=VECTOR('',#30814,10.); #8357=VECTOR('',#30817,10.); #8358=VECTOR('',#30818,10.); #8359=VECTOR('',#30819,10.); #8360=VECTOR('',#30822,10.); #8361=VECTOR('',#30823,10.); #8362=VECTOR('',#30828,10.); #8363=VECTOR('',#30829,10.); #8364=VECTOR('',#30830,10.); #8365=VECTOR('',#30831,10.); #8366=VECTOR('',#30834,10.); #8367=VECTOR('',#30835,10.); #8368=VECTOR('',#30836,10.); #8369=VECTOR('',#30839,10.); #8370=VECTOR('',#30840,10.); #8371=VECTOR('',#30841,10.); #8372=VECTOR('',#30844,10.); #8373=VECTOR('',#30845,10.); #8374=VECTOR('',#30846,10.); #8375=VECTOR('',#30849,10.); #8376=VECTOR('',#30850,10.); #8377=VECTOR('',#30851,10.); #8378=VECTOR('',#30854,10.); #8379=VECTOR('',#30855,10.); #8380=VECTOR('',#30856,10.); #8381=VECTOR('',#30859,10.); #8382=VECTOR('',#30860,10.); #8383=VECTOR('',#30861,10.); #8384=VECTOR('',#30866,10.); #8385=VECTOR('',#30873,10.); #8386=VECTOR('',#30878,10.); #8387=VECTOR('',#30879,10.); #8388=VECTOR('',#30880,10.); #8389=VECTOR('',#30885,10.); #8390=VECTOR('',#30892,10.); #8391=VECTOR('',#30899,10.); #8392=VECTOR('',#30904,10.); #8393=VECTOR('',#30905,10.); #8394=VECTOR('',#30906,10.); #8395=VECTOR('',#30909,10.); #8396=VECTOR('',#30910,10.); #8397=VECTOR('',#30911,10.); #8398=VECTOR('',#30914,10.); #8399=VECTOR('',#30915,10.); #8400=VECTOR('',#30916,10.); #8401=VECTOR('',#30919,10.); #8402=VECTOR('',#30920,10.); #8403=VECTOR('',#30921,10.); #8404=VECTOR('',#30924,10.); #8405=VECTOR('',#30925,10.); #8406=VECTOR('',#30926,10.); #8407=VECTOR('',#30931,10.); #8408=VECTOR('',#30936,10.); #8409=VECTOR('',#30937,10.); #8410=VECTOR('',#30938,10.); #8411=VECTOR('',#30943,10.); #8412=VECTOR('',#30950,10.); #8413=VECTOR('',#30957,10.); #8414=VECTOR('',#30962,10.); #8415=VECTOR('',#30963,10.); #8416=VECTOR('',#30964,10.); #8417=VECTOR('',#30969,10.); #8418=VECTOR('',#30974,10.); #8419=VECTOR('',#30975,10.); #8420=VECTOR('',#30976,10.); #8421=VECTOR('',#30979,10.); #8422=VECTOR('',#30980,10.); #8423=VECTOR('',#30981,10.); #8424=VECTOR('',#30986,10.); #8425=VECTOR('',#30991,10.); #8426=VECTOR('',#30992,10.); #8427=VECTOR('',#30993,10.); #8428=VECTOR('',#30996,10.); #8429=VECTOR('',#30997,10.); #8430=VECTOR('',#30998,10.); #8431=VECTOR('',#31001,10.); #8432=VECTOR('',#31002,10.); #8433=VECTOR('',#31003,10.); #8434=VECTOR('',#31006,10.); #8435=VECTOR('',#31007,10.); #8436=VECTOR('',#31008,10.); #8437=VECTOR('',#31011,10.); #8438=VECTOR('',#31012,10.); #8439=VECTOR('',#31017,10.); #8440=VECTOR('',#31018,10.); #8441=VECTOR('',#31019,10.); #8442=VECTOR('',#31020,10.); #8443=VECTOR('',#31025,10.); #8444=VECTOR('',#31032,10.); #8445=VECTOR('',#31037,10.); #8446=VECTOR('',#31038,10.); #8447=VECTOR('',#31039,10.); #8448=VECTOR('',#31042,10.); #8449=VECTOR('',#31043,10.); #8450=VECTOR('',#31044,10.); #8451=VECTOR('',#31049,10.); #8452=VECTOR('',#31056,10.); #8453=VECTOR('',#31061,10.); #8454=VECTOR('',#31062,10.); #8455=VECTOR('',#31063,10.); #8456=VECTOR('',#31068,10.); #8457=VECTOR('',#31075,10.); #8458=VECTOR('',#31080,10.); #8459=VECTOR('',#31081,10.); #8460=VECTOR('',#31082,10.); #8461=VECTOR('',#31085,10.); #8462=VECTOR('',#31086,10.); #8463=VECTOR('',#31087,10.); #8464=VECTOR('',#31092,10.); #8465=VECTOR('',#31099,10.); #8466=VECTOR('',#31104,10.); #8467=VECTOR('',#31105,10.); #8468=VECTOR('',#31106,10.); #8469=VECTOR('',#31109,10.); #8470=VECTOR('',#31110,10.); #8471=VECTOR('',#31111,10.); #8472=VECTOR('',#31114,10.); #8473=VECTOR('',#31115,10.); #8474=VECTOR('',#31116,10.); #8475=VECTOR('',#31121,10.); #8476=VECTOR('',#31126,10.); #8477=VECTOR('',#31127,10.); #8478=VECTOR('',#31128,10.); #8479=VECTOR('',#31131,10.); #8480=VECTOR('',#31132,10.); #8481=VECTOR('',#31133,10.); #8482=VECTOR('',#31136,10.); #8483=VECTOR('',#31137,10.); #8484=VECTOR('',#31138,10.); #8485=VECTOR('',#31141,10.); #8486=VECTOR('',#31142,10.); #8487=VECTOR('',#31143,10.); #8488=VECTOR('',#31146,10.); #8489=VECTOR('',#31147,10.); #8490=VECTOR('',#31148,10.); #8491=VECTOR('',#31153,10.); #8492=VECTOR('',#31158,10.); #8493=VECTOR('',#31159,10.); #8494=VECTOR('',#31160,10.); #8495=VECTOR('',#31163,10.); #8496=VECTOR('',#31164,10.); #8497=VECTOR('',#31165,10.); #8498=VECTOR('',#31168,10.); #8499=VECTOR('',#31169,10.); #8500=VECTOR('',#31170,10.); #8501=VECTOR('',#31173,10.); #8502=VECTOR('',#31174,10.); #8503=VECTOR('',#31175,10.); #8504=VECTOR('',#31178,10.); #8505=VECTOR('',#31179,10.); #8506=VECTOR('',#31180,10.); #8507=VECTOR('',#31183,10.); #8508=VECTOR('',#31184,10.); #8509=VECTOR('',#31185,10.); #8510=VECTOR('',#31188,10.); #8511=VECTOR('',#31189,10.); #8512=VECTOR('',#31190,10.); #8513=VECTOR('',#31193,10.); #8514=VECTOR('',#31194,10.); #8515=VECTOR('',#31195,10.); #8516=VECTOR('',#31198,10.); #8517=VECTOR('',#31199,10.); #8518=VECTOR('',#31200,10.); #8519=VECTOR('',#31203,10.); #8520=VECTOR('',#31204,10.); #8521=VECTOR('',#31205,10.); #8522=VECTOR('',#31208,10.); #8523=VECTOR('',#31209,10.); #8524=VECTOR('',#31210,10.); #8525=VECTOR('',#31213,10.); #8526=VECTOR('',#31214,10.); #8527=VECTOR('',#31215,10.); #8528=VECTOR('',#31220,10.); #8529=VECTOR('',#31227,10.); #8530=VECTOR('',#31232,10.); #8531=VECTOR('',#31233,10.); #8532=VECTOR('',#31234,10.); #8533=VECTOR('',#31237,10.); #8534=VECTOR('',#31238,10.); #8535=VECTOR('',#31239,10.); #8536=VECTOR('',#31242,10.); #8537=VECTOR('',#31243,10.); #8538=VECTOR('',#31244,10.); #8539=VECTOR('',#31247,10.); #8540=VECTOR('',#31248,10.); #8541=VECTOR('',#31249,10.); #8542=VECTOR('',#31254,10.); #8543=VECTOR('',#31259,10.); #8544=VECTOR('',#31260,10.); #8545=VECTOR('',#31261,10.); #8546=VECTOR('',#31264,10.); #8547=VECTOR('',#31265,10.); #8548=VECTOR('',#31266,10.); #8549=VECTOR('',#31271,10.); #8550=VECTOR('',#31276,10.); #8551=VECTOR('',#31277,10.); #8552=VECTOR('',#31278,10.); #8553=VECTOR('',#31281,10.); #8554=VECTOR('',#31282,10.); #8555=VECTOR('',#31283,10.); #8556=VECTOR('',#31288,10.); #8557=VECTOR('',#31295,10.); #8558=VECTOR('',#31300,10.); #8559=VECTOR('',#31301,10.); #8560=VECTOR('',#31302,10.); #8561=VECTOR('',#31305,10.); #8562=VECTOR('',#31306,10.); #8563=VECTOR('',#31307,10.); #8564=VECTOR('',#31312,10.); #8565=VECTOR('',#31317,10.); #8566=VECTOR('',#31318,10.); #8567=VECTOR('',#31319,10.); #8568=VECTOR('',#31322,10.); #8569=VECTOR('',#31323,10.); #8570=VECTOR('',#31324,10.); #8571=VECTOR('',#31327,10.); #8572=VECTOR('',#31328,10.); #8573=VECTOR('',#31329,10.); #8574=VECTOR('',#31332,10.); #8575=VECTOR('',#31333,10.); #8576=VECTOR('',#31334,10.); #8577=VECTOR('',#31337,10.); #8578=VECTOR('',#31338,10.); #8579=VECTOR('',#31339,10.); #8580=VECTOR('',#31342,10.); #8581=VECTOR('',#31343,10.); #8582=VECTOR('',#31344,10.); #8583=VECTOR('',#31347,10.); #8584=VECTOR('',#31348,10.); #8585=VECTOR('',#31349,10.); #8586=VECTOR('',#31354,10.); #8587=VECTOR('',#31359,10.); #8588=VECTOR('',#31360,10.); #8589=VECTOR('',#31361,10.); #8590=VECTOR('',#31364,10.); #8591=VECTOR('',#31365,10.); #8592=VECTOR('',#31366,10.); #8593=VECTOR('',#31369,10.); #8594=VECTOR('',#31370,10.); #8595=VECTOR('',#31371,10.); #8596=VECTOR('',#31376,10.); #8597=VECTOR('',#31381,10.); #8598=VECTOR('',#31382,10.); #8599=VECTOR('',#31383,10.); #8600=VECTOR('',#31386,10.); #8601=VECTOR('',#31387,10.); #8602=VECTOR('',#31388,10.); #8603=VECTOR('',#31391,10.); #8604=VECTOR('',#31392,10.); #8605=VECTOR('',#31393,10.); #8606=VECTOR('',#31396,10.); #8607=VECTOR('',#31397,10.); #8608=VECTOR('',#31398,10.); #8609=VECTOR('',#31403,10.); #8610=VECTOR('',#31408,10.); #8611=VECTOR('',#31409,10.); #8612=VECTOR('',#31410,10.); #8613=VECTOR('',#31413,10.); #8614=VECTOR('',#31414,10.); #8615=VECTOR('',#31415,10.); #8616=VECTOR('',#31418,10.); #8617=VECTOR('',#31419,10.); #8618=VECTOR('',#31420,10.); #8619=VECTOR('',#31423,10.); #8620=VECTOR('',#31424,10.); #8621=VECTOR('',#31425,10.); #8622=VECTOR('',#31430,10.); #8623=VECTOR('',#31435,10.); #8624=VECTOR('',#31436,10.); #8625=VECTOR('',#31437,10.); #8626=VECTOR('',#31440,10.); #8627=VECTOR('',#31441,10.); #8628=VECTOR('',#31442,10.); #8629=VECTOR('',#31445,10.); #8630=VECTOR('',#31446,10.); #8631=VECTOR('',#31447,10.); #8632=VECTOR('',#31452,10.); #8633=VECTOR('',#31457,10.); #8634=VECTOR('',#31458,10.); #8635=VECTOR('',#31459,10.); #8636=VECTOR('',#31462,10.); #8637=VECTOR('',#31463,10.); #8638=VECTOR('',#31464,10.); #8639=VECTOR('',#31469,10.); #8640=VECTOR('',#31474,10.); #8641=VECTOR('',#31475,10.); #8642=VECTOR('',#31476,10.); #8643=VECTOR('',#31479,10.); #8644=VECTOR('',#31480,10.); #8645=VECTOR('',#31487,10.); #8646=VECTOR('',#31490,10.); #8647=VECTOR('',#31495,10.); #8648=VECTOR('',#31500,10.); #8649=VECTOR('',#31501,10.); #8650=VECTOR('',#31502,10.); #8651=VECTOR('',#31507,10.); #8652=VECTOR('',#31514,10.); #8653=VECTOR('',#31521,10.); #8654=VECTOR('',#31528,10.); #8655=VECTOR('',#31535,10.); #8656=VECTOR('',#31542,10.); #8657=VECTOR('',#31549,10.); #8658=VECTOR('',#31554,10.); #8659=VECTOR('',#31555,10.); #8660=VECTOR('',#31556,10.); #8661=VECTOR('',#31561,10.); #8662=VECTOR('',#31566,10.); #8663=VECTOR('',#31567,10.); #8664=VECTOR('',#31568,10.); #8665=VECTOR('',#31571,10.); #8666=VECTOR('',#31572,10.); #8667=VECTOR('',#31573,10.); #8668=VECTOR('',#31576,10.); #8669=VECTOR('',#31577,10.); #8670=VECTOR('',#31578,10.); #8671=VECTOR('',#31583,10.); #8672=VECTOR('',#31588,10.); #8673=VECTOR('',#31589,10.); #8674=VECTOR('',#31590,10.); #8675=VECTOR('',#31593,10.); #8676=VECTOR('',#31594,10.); #8677=VECTOR('',#31595,10.); #8678=VECTOR('',#31598,10.); #8679=VECTOR('',#31599,10.); #8680=VECTOR('',#31600,10.); #8681=VECTOR('',#31603,10.); #8682=VECTOR('',#31604,10.); #8683=VECTOR('',#31605,10.); #8684=VECTOR('',#31608,10.); #8685=VECTOR('',#31609,10.); #8686=VECTOR('',#31610,10.); #8687=VECTOR('',#31615,10.); #8688=VECTOR('',#31622,10.); #8689=VECTOR('',#31629,10.); #8690=VECTOR('',#31636,10.); #8691=VECTOR('',#31643,10.); #8692=VECTOR('',#31650,10.); #8693=VECTOR('',#31657,10.); #8694=VECTOR('',#31662,10.); #8695=VECTOR('',#31663,10.); #8696=VECTOR('',#31664,10.); #8697=VECTOR('',#31669,10.); #8698=VECTOR('',#31676,10.); #8699=VECTOR('',#31683,10.); #8700=VECTOR('',#31690,10.); #8701=VECTOR('',#31697,10.); #8702=VECTOR('',#31704,10.); #8703=VECTOR('',#31711,10.); #8704=VECTOR('',#31716,10.); #8705=VECTOR('',#31717,10.); #8706=VECTOR('',#31718,10.); #8707=VECTOR('',#31723,10.); #8708=VECTOR('',#31728,10.); #8709=VECTOR('',#31729,10.); #8710=VECTOR('',#31730,10.); #8711=VECTOR('',#31733,10.); #8712=VECTOR('',#31734,10.); #8713=VECTOR('',#31735,10.); #8714=VECTOR('',#31738,10.); #8715=VECTOR('',#31739,10.); #8716=VECTOR('',#31740,10.); #8717=VECTOR('',#31743,10.); #8718=VECTOR('',#31744,10.); #8719=VECTOR('',#31745,10.); #8720=VECTOR('',#31750,10.); #8721=VECTOR('',#31755,10.); #8722=VECTOR('',#31756,10.); #8723=VECTOR('',#31757,10.); #8724=VECTOR('',#31760,10.); #8725=VECTOR('',#31761,10.); #8726=VECTOR('',#31762,10.); #8727=VECTOR('',#31765,10.); #8728=VECTOR('',#31766,10.); #8729=VECTOR('',#31767,10.); #8730=VECTOR('',#31770,10.); #8731=VECTOR('',#31771,10.); #8732=VECTOR('',#31772,10.); #8733=VECTOR('',#31777,10.); #8734=VECTOR('',#31784,10.); #8735=VECTOR('',#31791,10.); #8736=VECTOR('',#31796,10.); #8737=VECTOR('',#31797,10.); #8738=VECTOR('',#31798,10.); #8739=VECTOR('',#31803,10.); #8740=VECTOR('',#31810,10.); #8741=VECTOR('',#31823,10.); #8742=VECTOR('',#31824,10.); #8743=VECTOR('',#31825,10.); #8744=VECTOR('',#31826,10.); #8745=VECTOR('',#31829,10.); #8746=VECTOR('',#31830,10.); #8747=VECTOR('',#31831,10.); #8748=VECTOR('',#31836,10.); #8749=VECTOR('',#31841,10.); #8750=VECTOR('',#31842,10.); #8751=VECTOR('',#31843,10.); #8752=VECTOR('',#31846,10.); #8753=VECTOR('',#31847,10.); #8754=VECTOR('',#31848,10.); #8755=VECTOR('',#31851,10.); #8756=VECTOR('',#31852,10.); #8757=VECTOR('',#31853,10.); #8758=VECTOR('',#31856,10.); #8759=VECTOR('',#31857,10.); #8760=VECTOR('',#31858,10.); #8761=VECTOR('',#31861,10.); #8762=VECTOR('',#31862,10.); #8763=VECTOR('',#31863,10.); #8764=VECTOR('',#31866,10.); #8765=VECTOR('',#31867,10.); #8766=VECTOR('',#31868,10.); #8767=VECTOR('',#31871,10.); #8768=VECTOR('',#31872,10.); #8769=VECTOR('',#31873,10.); #8770=VECTOR('',#31878,10.); #8771=VECTOR('',#31883,10.); #8772=VECTOR('',#31884,10.); #8773=VECTOR('',#31885,10.); #8774=VECTOR('',#31888,10.); #8775=VECTOR('',#31889,10.); #8776=VECTOR('',#31890,10.); #8777=VECTOR('',#31893,10.); #8778=VECTOR('',#31894,10.); #8779=VECTOR('',#31895,10.); #8780=VECTOR('',#31898,10.); #8781=VECTOR('',#31899,10.); #8782=VECTOR('',#31900,10.); #8783=VECTOR('',#31903,10.); #8784=VECTOR('',#31904,10.); #8785=VECTOR('',#31905,10.); #8786=VECTOR('',#31910,10.); #8787=VECTOR('',#31915,10.); #8788=VECTOR('',#31916,10.); #8789=VECTOR('',#31917,10.); #8790=VECTOR('',#31920,10.); #8791=VECTOR('',#31921,10.); #8792=VECTOR('',#31922,10.); #8793=VECTOR('',#31925,10.); #8794=VECTOR('',#31926,10.); #8795=VECTOR('',#31927,10.); #8796=VECTOR('',#31930,10.); #8797=VECTOR('',#31931,10.); #8798=VECTOR('',#31932,10.); #8799=VECTOR('',#31937,10.); #8800=VECTOR('',#31942,10.); #8801=VECTOR('',#31943,10.); #8802=VECTOR('',#31944,10.); #8803=VECTOR('',#31947,10.); #8804=VECTOR('',#31948,10.); #8805=VECTOR('',#31949,10.); #8806=VECTOR('',#31952,10.); #8807=VECTOR('',#31953,10.); #8808=VECTOR('',#31954,10.); #8809=VECTOR('',#31957,10.); #8810=VECTOR('',#31958,10.); #8811=VECTOR('',#31959,10.); #8812=VECTOR('',#31962,10.); #8813=VECTOR('',#31963,10.); #8814=VECTOR('',#31964,10.); #8815=VECTOR('',#31967,10.); #8816=VECTOR('',#31968,10.); #8817=VECTOR('',#31969,10.); #8818=VECTOR('',#31972,10.); #8819=VECTOR('',#31973,10.); #8820=VECTOR('',#31974,10.); #8821=VECTOR('',#31977,10.); #8822=VECTOR('',#31978,10.); #8823=VECTOR('',#31979,10.); #8824=VECTOR('',#31982,10.); #8825=VECTOR('',#31983,10.); #8826=VECTOR('',#31984,10.); #8827=VECTOR('',#31987,10.); #8828=VECTOR('',#31988,10.); #8829=VECTOR('',#31989,10.); #8830=VECTOR('',#31994,10.); #8831=VECTOR('',#31999,10.); #8832=VECTOR('',#32000,10.); #8833=VECTOR('',#32001,10.); #8834=VECTOR('',#32004,10.); #8835=VECTOR('',#32005,10.); #8836=VECTOR('',#32006,10.); #8837=VECTOR('',#32009,10.); #8838=VECTOR('',#32010,10.); #8839=VECTOR('',#32011,10.); #8840=VECTOR('',#32014,10.); #8841=VECTOR('',#32015,10.); #8842=VECTOR('',#32016,10.); #8843=VECTOR('',#32019,10.); #8844=VECTOR('',#32020,10.); #8845=VECTOR('',#32021,10.); #8846=VECTOR('',#32024,10.); #8847=VECTOR('',#32025,10.); #8848=VECTOR('',#32026,10.); #8849=VECTOR('',#32029,10.); #8850=VECTOR('',#32030,10.); #8851=VECTOR('',#32031,10.); #8852=VECTOR('',#32034,10.); #8853=VECTOR('',#32035,10.); #8854=VECTOR('',#32036,10.); #8855=VECTOR('',#32039,10.); #8856=VECTOR('',#32040,10.); #8857=VECTOR('',#32041,10.); #8858=VECTOR('',#32046,10.); #8859=VECTOR('',#32051,10.); #8860=VECTOR('',#32052,10.); #8861=VECTOR('',#32057,10.); #8862=VECTOR('',#32058,10.); #8863=VECTOR('',#32059,10.); #8864=VECTOR('',#32060,10.); #8865=VECTOR('',#32063,10.); #8866=VECTOR('',#32064,10.); #8867=VECTOR('',#32065,10.); #8868=VECTOR('',#32070,10.); #8869=VECTOR('',#32075,10.); #8870=VECTOR('',#32076,10.); #8871=VECTOR('',#32077,10.); #8872=VECTOR('',#32080,10.); #8873=VECTOR('',#32081,10.); #8874=VECTOR('',#32082,10.); #8875=VECTOR('',#32085,10.); #8876=VECTOR('',#32086,10.); #8877=VECTOR('',#32087,10.); #8878=VECTOR('',#32090,10.); #8879=VECTOR('',#32091,10.); #8880=VECTOR('',#32092,10.); #8881=VECTOR('',#32095,10.); #8882=VECTOR('',#32096,10.); #8883=VECTOR('',#32097,10.); #8884=VECTOR('',#32100,10.); #8885=VECTOR('',#32101,10.); #8886=VECTOR('',#32102,10.); #8887=VECTOR('',#32105,10.); #8888=VECTOR('',#32106,10.); #8889=VECTOR('',#32107,10.); #8890=VECTOR('',#32110,10.); #8891=VECTOR('',#32111,10.); #8892=VECTOR('',#32112,10.); #8893=VECTOR('',#32115,10.); #8894=VECTOR('',#32116,10.); #8895=VECTOR('',#32117,10.); #8896=VECTOR('',#32120,10.); #8897=VECTOR('',#32121,10.); #8898=VECTOR('',#32122,10.); #8899=VECTOR('',#32125,10.); #8900=VECTOR('',#32126,10.); #8901=VECTOR('',#32127,10.); #8902=VECTOR('',#32130,10.); #8903=VECTOR('',#32131,10.); #8904=VECTOR('',#32132,10.); #8905=VECTOR('',#32135,10.); #8906=VECTOR('',#32136,10.); #8907=VECTOR('',#32137,10.); #8908=VECTOR('',#32140,10.); #8909=VECTOR('',#32141,10.); #8910=VECTOR('',#32142,10.); #8911=VECTOR('',#32145,10.); #8912=VECTOR('',#32146,10.); #8913=VECTOR('',#32147,10.); #8914=VECTOR('',#32150,10.); #8915=VECTOR('',#32151,10.); #8916=VECTOR('',#32152,10.); #8917=VECTOR('',#32155,10.); #8918=VECTOR('',#32156,10.); #8919=VECTOR('',#32157,10.); #8920=VECTOR('',#32162,10.); #8921=VECTOR('',#32167,10.); #8922=VECTOR('',#32168,10.); #8923=VECTOR('',#32169,10.); #8924=VECTOR('',#32172,10.); #8925=VECTOR('',#32173,10.); #8926=VECTOR('',#32174,10.); #8927=VECTOR('',#32177,10.); #8928=VECTOR('',#32178,10.); #8929=VECTOR('',#32179,10.); #8930=VECTOR('',#32182,10.); #8931=VECTOR('',#32183,10.); #8932=VECTOR('',#32184,10.); #8933=VECTOR('',#32187,10.); #8934=VECTOR('',#32188,10.); #8935=VECTOR('',#32189,10.); #8936=VECTOR('',#32192,10.); #8937=VECTOR('',#32193,10.); #8938=VECTOR('',#32194,10.); #8939=VECTOR('',#32199,10.); #8940=VECTOR('',#32204,10.); #8941=VECTOR('',#32205,10.); #8942=VECTOR('',#32206,10.); #8943=VECTOR('',#32209,10.); #8944=VECTOR('',#32210,10.); #8945=VECTOR('',#32211,10.); #8946=VECTOR('',#32214,10.); #8947=VECTOR('',#32215,10.); #8948=VECTOR('',#32216,10.); #8949=VECTOR('',#32219,10.); #8950=VECTOR('',#32220,10.); #8951=VECTOR('',#32221,10.); #8952=VECTOR('',#32224,10.); #8953=VECTOR('',#32225,10.); #8954=VECTOR('',#32226,10.); #8955=VECTOR('',#32229,10.); #8956=VECTOR('',#32230,10.); #8957=VECTOR('',#32231,10.); #8958=VECTOR('',#32234,10.); #8959=VECTOR('',#32235,10.); #8960=VECTOR('',#32236,10.); #8961=VECTOR('',#32239,10.); #8962=VECTOR('',#32240,10.); #8963=VECTOR('',#32241,10.); #8964=VECTOR('',#32244,10.); #8965=VECTOR('',#32245,10.); #8966=VECTOR('',#32246,10.); #8967=VECTOR('',#32249,10.); #8968=VECTOR('',#32250,10.); #8969=VECTOR('',#32251,10.); #8970=VECTOR('',#32254,10.); #8971=VECTOR('',#32255,10.); #8972=VECTOR('',#32256,10.); #8973=VECTOR('',#32259,10.); #8974=VECTOR('',#32260,10.); #8975=VECTOR('',#32261,10.); #8976=VECTOR('',#32264,10.); #8977=VECTOR('',#32265,10.); #8978=VECTOR('',#32266,10.); #8979=VECTOR('',#32269,10.); #8980=VECTOR('',#32270,10.); #8981=VECTOR('',#32271,10.); #8982=VECTOR('',#32274,10.); #8983=VECTOR('',#32275,10.); #8984=VECTOR('',#32276,10.); #8985=VECTOR('',#32279,10.); #8986=VECTOR('',#32280,10.); #8987=VECTOR('',#32281,10.); #8988=VECTOR('',#32286,10.); #8989=VECTOR('',#32291,10.); #8990=VECTOR('',#32292,10.); #8991=VECTOR('',#32293,10.); #8992=VECTOR('',#32296,10.); #8993=VECTOR('',#32297,10.); #8994=VECTOR('',#32298,10.); #8995=VECTOR('',#32301,10.); #8996=VECTOR('',#32302,10.); #8997=VECTOR('',#32303,10.); #8998=VECTOR('',#32306,10.); #8999=VECTOR('',#32307,10.); #9000=VECTOR('',#32308,10.); #9001=VECTOR('',#32311,10.); #9002=VECTOR('',#32312,10.); #9003=VECTOR('',#32313,10.); #9004=VECTOR('',#32316,10.); #9005=VECTOR('',#32317,10.); #9006=VECTOR('',#32318,10.); #9007=VECTOR('',#32323,10.); #9008=VECTOR('',#32330,10.); #9009=VECTOR('',#32335,10.); #9010=VECTOR('',#32336,10.); #9011=VECTOR('',#32341,10.); #9012=VECTOR('',#32342,10.); #9013=VECTOR('',#32343,10.); #9014=VECTOR('',#32344,10.); #9015=VECTOR('',#32347,10.); #9016=VECTOR('',#32348,10.); #9017=VECTOR('',#32349,10.); #9018=VECTOR('',#32352,10.); #9019=VECTOR('',#32353,10.); #9020=VECTOR('',#32354,10.); #9021=VECTOR('',#32359,10.); #9022=VECTOR('',#32364,10.); #9023=VECTOR('',#32365,10.); #9024=VECTOR('',#32366,10.); #9025=VECTOR('',#32369,10.); #9026=VECTOR('',#32370,10.); #9027=VECTOR('',#32371,10.); #9028=VECTOR('',#32376,10.); #9029=VECTOR('',#32381,10.); #9030=VECTOR('',#32382,10.); #9031=VECTOR('',#32383,10.); #9032=VECTOR('',#32386,10.); #9033=VECTOR('',#32387,10.); #9034=VECTOR('',#32388,10.); #9035=VECTOR('',#32393,10.); #9036=VECTOR('',#32400,10.); #9037=VECTOR('',#32405,10.); #9038=VECTOR('',#32406,10.); #9039=VECTOR('',#32407,10.); #9040=VECTOR('',#32410,10.); #9041=VECTOR('',#32411,10.); #9042=VECTOR('',#32412,10.); #9043=VECTOR('',#32417,10.); #9044=VECTOR('',#32424,10.); #9045=VECTOR('',#32429,10.); #9046=VECTOR('',#32430,10.); #9047=VECTOR('',#32431,10.); #9048=VECTOR('',#32434,10.); #9049=VECTOR('',#32435,10.); #9050=VECTOR('',#32436,10.); #9051=VECTOR('',#32439,10.); #9052=VECTOR('',#32440,10.); #9053=VECTOR('',#32441,10.); #9054=VECTOR('',#32444,10.); #9055=VECTOR('',#32445,10.); #9056=VECTOR('',#32446,10.); #9057=VECTOR('',#32451,10.); #9058=VECTOR('',#32458,10.); #9059=VECTOR('',#32463,10.); #9060=VECTOR('',#32464,10.); #9061=VECTOR('',#32465,10.); #9062=VECTOR('',#32468,10.); #9063=VECTOR('',#32469,10.); #9064=VECTOR('',#32470,10.); #9065=VECTOR('',#32475,10.); #9066=VECTOR('',#32480,10.); #9067=VECTOR('',#32481,10.); #9068=VECTOR('',#32482,10.); #9069=VECTOR('',#32487,10.); #9070=VECTOR('',#32494,10.); #9071=VECTOR('',#32499,10.); #9072=VECTOR('',#32500,10.); #9073=VECTOR('',#32501,10.); #9074=VECTOR('',#32504,10.); #9075=VECTOR('',#32505,10.); #9076=VECTOR('',#32506,10.); #9077=VECTOR('',#32511,10.); #9078=VECTOR('',#32518,10.); #9079=VECTOR('',#32525,10.); #9080=VECTOR('',#32530,10.); #9081=VECTOR('',#32531,10.); #9082=VECTOR('',#32532,10.); #9083=VECTOR('',#32537,10.); #9084=VECTOR('',#32542,10.); #9085=VECTOR('',#32543,10.); #9086=VECTOR('',#32544,10.); #9087=VECTOR('',#32547,10.); #9088=VECTOR('',#32548,10.); #9089=VECTOR('',#32549,10.); #9090=VECTOR('',#32552,10.); #9091=VECTOR('',#32553,10.); #9092=VECTOR('',#32554,10.); #9093=VECTOR('',#32559,10.); #9094=VECTOR('',#32564,10.); #9095=VECTOR('',#32565,10.); #9096=VECTOR('',#32566,10.); #9097=VECTOR('',#32569,10.); #9098=VECTOR('',#32570,10.); #9099=VECTOR('',#32571,10.); #9100=VECTOR('',#32574,10.); #9101=VECTOR('',#32575,10.); #9102=VECTOR('',#32576,10.); #9103=VECTOR('',#32589,10.); #9104=VECTOR('',#32592,10.); #9105=VECTOR('',#32597,10.); #9106=VECTOR('',#32604,10.); #9107=VECTOR('',#32611,10.); #9108=VECTOR('',#32616,10.); #9109=VECTOR('',#32617,10.); #9110=VECTOR('',#32618,10.); #9111=VECTOR('',#32623,10.); #9112=VECTOR('',#32630,10.); #9113=VECTOR('',#32637,10.); #9114=VECTOR('',#32650,10.); #9115=VECTOR('',#32653,10.); #9116=VECTOR('',#32658,10.); #9117=VECTOR('',#32665,10.); #9118=VECTOR('',#32672,10.); #9119=VECTOR('',#32677,10.); #9120=VECTOR('',#32678,10.); #9121=VECTOR('',#32679,10.); #9122=VECTOR('',#32682,10.); #9123=VECTOR('',#32683,10.); #9124=VECTOR('',#32684,10.); #9125=VECTOR('',#32689,10.); #9126=VECTOR('',#32696,10.); #9127=VECTOR('',#32703,10.); #9128=VECTOR('',#32710,10.); #9129=VECTOR('',#32723,10.); #9130=VECTOR('',#32724,10.); #9131=VECTOR('',#32725,10.); #9132=VECTOR('',#32726,10.); #9133=VECTOR('',#32731,10.); #9134=VECTOR('',#32738,10.); #9135=VECTOR('',#32743,10.); #9136=VECTOR('',#32744,10.); #9137=VECTOR('',#32745,10.); #9138=VECTOR('',#32750,10.); #9139=VECTOR('',#32757,10.); #9140=VECTOR('',#32762,10.); #9141=VECTOR('',#32763,10.); #9142=VECTOR('',#32764,10.); #9143=VECTOR('',#32769,10.); #9144=VECTOR('',#32774,10.); #9145=VECTOR('',#32775,10.); #9146=VECTOR('',#32776,10.); #9147=VECTOR('',#32779,10.); #9148=VECTOR('',#32780,10.); #9149=VECTOR('',#32781,10.); #9150=VECTOR('',#32784,10.); #9151=VECTOR('',#32785,10.); #9152=VECTOR('',#32786,10.); #9153=VECTOR('',#32791,10.); #9154=VECTOR('',#32796,10.); #9155=VECTOR('',#32797,10.); #9156=VECTOR('',#32798,10.); #9157=VECTOR('',#32801,10.); #9158=VECTOR('',#32802,10.); #9159=VECTOR('',#32803,10.); #9160=VECTOR('',#32806,10.); #9161=VECTOR('',#32807,10.); #9162=VECTOR('',#32808,10.); #9163=VECTOR('',#32811,10.); #9164=VECTOR('',#32812,10.); #9165=VECTOR('',#32813,10.); #9166=VECTOR('',#32818,10.); #9167=VECTOR('',#32823,10.); #9168=VECTOR('',#32824,10.); #9169=VECTOR('',#32825,10.); #9170=VECTOR('',#32830,10.); #9171=VECTOR('',#32837,10.); #9172=VECTOR('',#32842,10.); #9173=VECTOR('',#32843,10.); #9174=VECTOR('',#32844,10.); #9175=VECTOR('',#32847,10.); #9176=VECTOR('',#32848,10.); #9177=VECTOR('',#32849,10.); #9178=VECTOR('',#32852,10.); #9179=VECTOR('',#32853,10.); #9180=VECTOR('',#32854,10.); #9181=VECTOR('',#32857,10.); #9182=VECTOR('',#32858,10.); #9183=VECTOR('',#32859,10.); #9184=VECTOR('',#32862,10.); #9185=VECTOR('',#32863,10.); #9186=VECTOR('',#32864,10.); #9187=VECTOR('',#32869,10.); #9188=VECTOR('',#32876,10.); #9189=VECTOR('',#32883,10.); #9190=VECTOR('',#32888,10.); #9191=VECTOR('',#32889,10.); #9192=VECTOR('',#32890,10.); #9193=VECTOR('',#32895,10.); #9194=VECTOR('',#32902,10.); #9195=VECTOR('',#32909,10.); #9196=VECTOR('',#32914,10.); #9197=VECTOR('',#32915,10.); #9198=VECTOR('',#32916,10.); #9199=VECTOR('',#32921,10.); #9200=VECTOR('',#32926,10.); #9201=VECTOR('',#32927,10.); #9202=VECTOR('',#32928,10.); #9203=VECTOR('',#32931,10.); #9204=VECTOR('',#32932,10.); #9205=VECTOR('',#32933,10.); #9206=VECTOR('',#32936,10.); #9207=VECTOR('',#32937,10.); #9208=VECTOR('',#32938,10.); #9209=VECTOR('',#32941,10.); #9210=VECTOR('',#32942,10.); #9211=VECTOR('',#32943,10.); #9212=VECTOR('',#32946,10.); #9213=VECTOR('',#32947,10.); #9214=VECTOR('',#32948,10.); #9215=VECTOR('',#32951,10.); #9216=VECTOR('',#32952,10.); #9217=VECTOR('',#32953,10.); #9218=VECTOR('',#32956,10.); #9219=VECTOR('',#32957,10.); #9220=VECTOR('',#32958,10.); #9221=VECTOR('',#32963,10.); #9222=VECTOR('',#32968,10.); #9223=VECTOR('',#32969,10.); #9224=VECTOR('',#32970,10.); #9225=VECTOR('',#32973,10.); #9226=VECTOR('',#32974,10.); #9227=VECTOR('',#32975,10.); #9228=VECTOR('',#32978,10.); #9229=VECTOR('',#32979,10.); #9230=VECTOR('',#32980,10.); #9231=VECTOR('',#32991,10.); #9232=VECTOR('',#32992,10.); #9233=VECTOR('',#32993,10.); #9234=VECTOR('',#32994,10.); #9235=VECTOR('',#32997,10.); #9236=VECTOR('',#32998,10.); #9237=VECTOR('',#32999,10.); #9238=VECTOR('',#33002,10.); #9239=VECTOR('',#33003,10.); #9240=VECTOR('',#33004,10.); #9241=VECTOR('',#33007,10.); #9242=VECTOR('',#33008,10.); #9243=VECTOR('',#33009,10.); #9244=VECTOR('',#33012,10.); #9245=VECTOR('',#33013,10.); #9246=VECTOR('',#33014,10.); #9247=VECTOR('',#33017,10.); #9248=VECTOR('',#33018,10.); #9249=VECTOR('',#33019,10.); #9250=VECTOR('',#33022,10.); #9251=VECTOR('',#33023,10.); #9252=VECTOR('',#33024,10.); #9253=VECTOR('',#33027,10.); #9254=VECTOR('',#33028,10.); #9255=VECTOR('',#33029,10.); #9256=VECTOR('',#33032,10.); #9257=VECTOR('',#33033,10.); #9258=VECTOR('',#33034,10.); #9259=VECTOR('',#33039,10.); #9260=VECTOR('',#33044,10.); #9261=VECTOR('',#33045,10.); #9262=VECTOR('',#33046,10.); #9263=VECTOR('',#33049,10.); #9264=VECTOR('',#33050,10.); #9265=VECTOR('',#33051,10.); #9266=VECTOR('',#33054,10.); #9267=VECTOR('',#33055,10.); #9268=VECTOR('',#33056,10.); #9269=VECTOR('',#33059,10.); #9270=VECTOR('',#33060,10.); #9271=VECTOR('',#33061,10.); #9272=VECTOR('',#33066,10.); #9273=VECTOR('',#33071,10.); #9274=VECTOR('',#33072,10.); #9275=VECTOR('',#33073,10.); #9276=VECTOR('',#33076,10.); #9277=VECTOR('',#33077,10.); #9278=VECTOR('',#33078,10.); #9279=VECTOR('',#33081,10.); #9280=VECTOR('',#33082,10.); #9281=VECTOR('',#33083,10.); #9282=VECTOR('',#33088,10.); #9283=VECTOR('',#33093,10.); #9284=VECTOR('',#33094,10.); #9285=VECTOR('',#33095,10.); #9286=VECTOR('',#33098,10.); #9287=VECTOR('',#33099,10.); #9288=VECTOR('',#33100,10.); #9289=VECTOR('',#33103,10.); #9290=VECTOR('',#33104,10.); #9291=VECTOR('',#33105,10.); #9292=VECTOR('',#33108,10.); #9293=VECTOR('',#33109,10.); #9294=VECTOR('',#33110,10.); #9295=VECTOR('',#33123,10.); #9296=VECTOR('',#33126,10.); #9297=VECTOR('',#33131,10.); #9298=VECTOR('',#33138,10.); #9299=VECTOR('',#33145,10.); #9300=VECTOR('',#33152,10.); #9301=VECTOR('',#33157,10.); #9302=VECTOR('',#33158,10.); #9303=VECTOR('',#33159,10.); #9304=VECTOR('',#33162,10.); #9305=VECTOR('',#33163,10.); #9306=VECTOR('',#33164,10.); #9307=VECTOR('',#33167,10.); #9308=VECTOR('',#33168,10.); #9309=VECTOR('',#33169,10.); #9310=VECTOR('',#33172,10.); #9311=VECTOR('',#33173,10.); #9312=VECTOR('',#33176,10.); #9313=VECTOR('',#33177,10.); #9314=VECTOR('',#33178,10.); #9315=VECTOR('',#33179,10.); #9316=VECTOR('',#33182,10.); #9317=VECTOR('',#33183,10.); #9318=VECTOR('',#33184,10.); #9319=VECTOR('',#33187,10.); #9320=VECTOR('',#33188,10.); #9321=VECTOR('',#33189,10.); #9322=VECTOR('',#33192,10.); #9323=VECTOR('',#33193,10.); #9324=VECTOR('',#33194,10.); #9325=VECTOR('',#33197,10.); #9326=VECTOR('',#33198,10.); #9327=VECTOR('',#33199,10.); #9328=VECTOR('',#33202,10.); #9329=VECTOR('',#33203,10.); #9330=VECTOR('',#33204,10.); #9331=VECTOR('',#33207,10.); #9332=VECTOR('',#33208,10.); #9333=VECTOR('',#33209,10.); #9334=VECTOR('',#33214,10.); #9335=VECTOR('',#33219,10.); #9336=VECTOR('',#33220,10.); #9337=VECTOR('',#33221,10.); #9338=VECTOR('',#33226,10.); #9339=VECTOR('',#33233,10.); #9340=VECTOR('',#33238,10.); #9341=VECTOR('',#33239,10.); #9342=VECTOR('',#33240,10.); #9343=VECTOR('',#33245,10.); #9344=VECTOR('',#33252,10.); #9345=VECTOR('',#33259,10.); #9346=VECTOR('',#33264,10.); #9347=VECTOR('',#33265,10.); #9348=VECTOR('',#33266,10.); #9349=VECTOR('',#33269,10.); #9350=VECTOR('',#33270,10.); #9351=VECTOR('',#33271,10.); #9352=VECTOR('',#33274,10.); #9353=VECTOR('',#33275,10.); #9354=VECTOR('',#33276,10.); #9355=VECTOR('',#33279,10.); #9356=VECTOR('',#33280,10.); #9357=VECTOR('',#33281,10.); #9358=VECTOR('',#33284,10.); #9359=VECTOR('',#33285,10.); #9360=VECTOR('',#33286,10.); #9361=VECTOR('',#33289,10.); #9362=VECTOR('',#33290,10.); #9363=VECTOR('',#33291,10.); #9364=VECTOR('',#33294,10.); #9365=VECTOR('',#33295,10.); #9366=VECTOR('',#33296,10.); #9367=VECTOR('',#33299,10.); #9368=VECTOR('',#33300,10.); #9369=VECTOR('',#33301,10.); #9370=VECTOR('',#33306,10.); #9371=VECTOR('',#33313,10.); #9372=VECTOR('',#33320,10.); #9373=VECTOR('',#33327,10.); #9374=VECTOR('',#33334,10.); #9375=VECTOR('',#33339,10.); #9376=VECTOR('',#33340,10.); #9377=VECTOR('',#33341,10.); #9378=VECTOR('',#33346,10.); #9379=VECTOR('',#33359,10.); #9380=VECTOR('',#33360,10.); #9381=VECTOR('',#33361,10.); #9382=VECTOR('',#33362,10.); #9383=VECTOR('',#33365,10.); #9384=VECTOR('',#33366,10.); #9385=VECTOR('',#33367,10.); #9386=VECTOR('',#33370,10.); #9387=VECTOR('',#33371,10.); #9388=VECTOR('',#33372,10.); #9389=VECTOR('',#33375,10.); #9390=VECTOR('',#33376,10.); #9391=VECTOR('',#33377,10.); #9392=VECTOR('',#33380,10.); #9393=VECTOR('',#33381,10.); #9394=VECTOR('',#33382,10.); #9395=VECTOR('',#33385,10.); #9396=VECTOR('',#33386,10.); #9397=VECTOR('',#33387,10.); #9398=VECTOR('',#33390,10.); #9399=VECTOR('',#33391,10.); #9400=VECTOR('',#33392,10.); #9401=VECTOR('',#33395,10.); #9402=VECTOR('',#33396,10.); #9403=VECTOR('',#33397,10.); #9404=VECTOR('',#33400,10.); #9405=VECTOR('',#33401,10.); #9406=VECTOR('',#33402,10.); #9407=VECTOR('',#33405,10.); #9408=VECTOR('',#33406,10.); #9409=VECTOR('',#33407,10.); #9410=VECTOR('',#33412,10.); #9411=VECTOR('',#33417,10.); #9412=VECTOR('',#33418,10.); #9413=VECTOR('',#33419,10.); #9414=VECTOR('',#33422,10.); #9415=VECTOR('',#33423,10.); #9416=VECTOR('',#33424,10.); #9417=VECTOR('',#33427,10.); #9418=VECTOR('',#33428,10.); #9419=VECTOR('',#33429,10.); #9420=VECTOR('',#33434,10.); #9421=VECTOR('',#33439,10.); #9422=VECTOR('',#33440,10.); #9423=VECTOR('',#33441,10.); #9424=VECTOR('',#33444,10.); #9425=VECTOR('',#33445,10.); #9426=VECTOR('',#33446,10.); #9427=VECTOR('',#33449,10.); #9428=VECTOR('',#33450,10.); #9429=VECTOR('',#33451,10.); #9430=VECTOR('',#33454,10.); #9431=VECTOR('',#33455,10.); #9432=VECTOR('',#33456,10.); #9433=VECTOR('',#33459,10.); #9434=VECTOR('',#33460,10.); #9435=VECTOR('',#33461,10.); #9436=VECTOR('',#33464,10.); #9437=VECTOR('',#33465,10.); #9438=VECTOR('',#33466,10.); #9439=VECTOR('',#33469,10.); #9440=VECTOR('',#33470,10.); #9441=VECTOR('',#33471,10.); #9442=VECTOR('',#33474,10.); #9443=VECTOR('',#33475,10.); #9444=VECTOR('',#33476,10.); #9445=VECTOR('',#33479,10.); #9446=VECTOR('',#33480,10.); #9447=VECTOR('',#33481,10.); #9448=VECTOR('',#33484,10.); #9449=VECTOR('',#33485,10.); #9450=VECTOR('',#33486,10.); #9451=VECTOR('',#33489,10.); #9452=VECTOR('',#33490,10.); #9453=VECTOR('',#33491,10.); #9454=VECTOR('',#33496,10.); #9455=VECTOR('',#33501,10.); #9456=VECTOR('',#33502,10.); #9457=VECTOR('',#33503,10.); #9458=VECTOR('',#33506,10.); #9459=VECTOR('',#33507,10.); #9460=VECTOR('',#33508,10.); #9461=VECTOR('',#33511,10.); #9462=VECTOR('',#33512,10.); #9463=VECTOR('',#33513,10.); #9464=VECTOR('',#33516,10.); #9465=VECTOR('',#33517,10.); #9466=VECTOR('',#33518,10.); #9467=VECTOR('',#33523,10.); #9468=VECTOR('',#33528,10.); #9469=VECTOR('',#33529,10.); #9470=VECTOR('',#33530,10.); #9471=VECTOR('',#33533,10.); #9472=VECTOR('',#33534,10.); #9473=VECTOR('',#33535,10.); #9474=VECTOR('',#33538,10.); #9475=VECTOR('',#33539,10.); #9476=VECTOR('',#33540,10.); #9477=VECTOR('',#33543,10.); #9478=VECTOR('',#33544,10.); #9479=VECTOR('',#33545,10.); #9480=VECTOR('',#33548,10.); #9481=VECTOR('',#33549,10.); #9482=VECTOR('',#33554,10.); #9483=VECTOR('',#33555,10.); #9484=VECTOR('',#33556,10.); #9485=VECTOR('',#33557,10.); #9486=VECTOR('',#33560,10.); #9487=VECTOR('',#33561,10.); #9488=VECTOR('',#33562,10.); #9489=VECTOR('',#33565,10.); #9490=VECTOR('',#33566,10.); #9491=VECTOR('',#33567,10.); #9492=VECTOR('',#33570,10.); #9493=VECTOR('',#33571,10.); #9494=VECTOR('',#33572,10.); #9495=VECTOR('',#33577,10.); #9496=VECTOR('',#33582,10.); #9497=VECTOR('',#33583,10.); #9498=VECTOR('',#33584,10.); #9499=VECTOR('',#33587,10.); #9500=VECTOR('',#33588,10.); #9501=VECTOR('',#33589,10.); #9502=VECTOR('',#33592,10.); #9503=VECTOR('',#33593,10.); #9504=VECTOR('',#33594,10.); #9505=VECTOR('',#33599,10.); #9506=VECTOR('',#33604,10.); #9507=VECTOR('',#33605,10.); #9508=VECTOR('',#33606,10.); #9509=VECTOR('',#33609,10.); #9510=VECTOR('',#33610,10.); #9511=VECTOR('',#33611,10.); #9512=VECTOR('',#33614,10.); #9513=VECTOR('',#33615,10.); #9514=VECTOR('',#33616,10.); #9515=VECTOR('',#33619,10.); #9516=VECTOR('',#33620,10.); #9517=VECTOR('',#33621,10.); #9518=VECTOR('',#33624,10.); #9519=VECTOR('',#33625,10.); #9520=VECTOR('',#33626,10.); #9521=VECTOR('',#33629,10.); #9522=VECTOR('',#33630,10.); #9523=VECTOR('',#33631,10.); #9524=VECTOR('',#33634,10.); #9525=VECTOR('',#33635,10.); #9526=VECTOR('',#33636,10.); #9527=VECTOR('',#33641,10.); #9528=VECTOR('',#33646,10.); #9529=VECTOR('',#33647,10.); #9530=VECTOR('',#33648,10.); #9531=VECTOR('',#33651,10.); #9532=VECTOR('',#33652,10.); #9533=VECTOR('',#33653,10.); #9534=VECTOR('',#33656,10.); #9535=VECTOR('',#33657,10.); #9536=VECTOR('',#33658,10.); #9537=VECTOR('',#33661,10.); #9538=VECTOR('',#33662,10.); #9539=VECTOR('',#33663,10.); #9540=VECTOR('',#33666,10.); #9541=VECTOR('',#33667,10.); #9542=VECTOR('',#33668,10.); #9543=VECTOR('',#33673,10.); #9544=VECTOR('',#33678,10.); #9545=VECTOR('',#33679,10.); #9546=VECTOR('',#33680,10.); #9547=VECTOR('',#33683,10.); #9548=VECTOR('',#33684,10.); #9549=VECTOR('',#33685,10.); #9550=VECTOR('',#33688,10.); #9551=VECTOR('',#33689,10.); #9552=VECTOR('',#33690,10.); #9553=VECTOR('',#33693,10.); #9554=VECTOR('',#33694,10.); #9555=VECTOR('',#33695,10.); #9556=VECTOR('',#33700,10.); #9557=VECTOR('',#33705,10.); #9558=VECTOR('',#33706,10.); #9559=VECTOR('',#33707,10.); #9560=VECTOR('',#33710,10.); #9561=VECTOR('',#33711,10.); #9562=VECTOR('',#33712,10.); #9563=VECTOR('',#33715,10.); #9564=VECTOR('',#33716,10.); #9565=VECTOR('',#33717,10.); #9566=VECTOR('',#33720,10.); #9567=VECTOR('',#33721,10.); #9568=VECTOR('',#33722,10.); #9569=VECTOR('',#33725,10.); #9570=VECTOR('',#33726,10.); #9571=VECTOR('',#33727,10.); #9572=VECTOR('',#33730,10.); #9573=VECTOR('',#33731,10.); #9574=VECTOR('',#33732,10.); #9575=VECTOR('',#33735,10.); #9576=VECTOR('',#33736,10.); #9577=VECTOR('',#33737,10.); #9578=VECTOR('',#33740,10.); #9579=VECTOR('',#33741,10.); #9580=VECTOR('',#33742,10.); #9581=VECTOR('',#33745,10.); #9582=VECTOR('',#33746,10.); #9583=VECTOR('',#33747,10.); #9584=VECTOR('',#33750,10.); #9585=VECTOR('',#33751,10.); #9586=VECTOR('',#33752,10.); #9587=VECTOR('',#33757,10.); #9588=VECTOR('',#33762,10.); #9589=VECTOR('',#33763,10.); #9590=VECTOR('',#33764,10.); #9591=VECTOR('',#33767,10.); #9592=VECTOR('',#33768,10.); #9593=VECTOR('',#33769,10.); #9594=VECTOR('',#33772,10.); #9595=VECTOR('',#33773,10.); #9596=VECTOR('',#33774,10.); #9597=VECTOR('',#33777,10.); #9598=VECTOR('',#33778,10.); #9599=VECTOR('',#33779,10.); #9600=VECTOR('',#33782,10.); #9601=VECTOR('',#33783,10.); #9602=VECTOR('',#33788,10.); #9603=VECTOR('',#33789,10.); #9604=VECTOR('',#33790,10.); #9605=VECTOR('',#33791,10.); #9606=VECTOR('',#33796,10.); #9607=VECTOR('',#33801,10.); #9608=VECTOR('',#33802,10.); #9609=VECTOR('',#33803,10.); #9610=VECTOR('',#33806,10.); #9611=VECTOR('',#33807,10.); #9612=VECTOR('',#33808,10.); #9613=VECTOR('',#33811,10.); #9614=VECTOR('',#33812,10.); #9615=VECTOR('',#33813,10.); #9616=VECTOR('',#33816,10.); #9617=VECTOR('',#33817,10.); #9618=VECTOR('',#33818,10.); #9619=VECTOR('',#33821,10.); #9620=VECTOR('',#33822,10.); #9621=VECTOR('',#33823,10.); #9622=VECTOR('',#33826,10.); #9623=VECTOR('',#33827,10.); #9624=VECTOR('',#33828,10.); #9625=VECTOR('',#33833,10.); #9626=VECTOR('',#33840,10.); #9627=VECTOR('',#33847,10.); #9628=VECTOR('',#33854,10.); #9629=VECTOR('',#33861,10.); #9630=VECTOR('',#33868,10.); #9631=VECTOR('',#33873,10.); #9632=VECTOR('',#33874,10.); #9633=VECTOR('',#33875,10.); #9634=VECTOR('',#33878,10.); #9635=VECTOR('',#33879,10.); #9636=VECTOR('',#33880,10.); #9637=VECTOR('',#33883,10.); #9638=VECTOR('',#33884,10.); #9639=VECTOR('',#33885,10.); #9640=VECTOR('',#33888,10.); #9641=VECTOR('',#33889,10.); #9642=VECTOR('',#33890,10.); #9643=VECTOR('',#33893,10.); #9644=VECTOR('',#33894,10.); #9645=VECTOR('',#33895,10.); #9646=VECTOR('',#33900,10.); #9647=VECTOR('',#33905,10.); #9648=VECTOR('',#33906,10.); #9649=VECTOR('',#33907,10.); #9650=VECTOR('',#33910,10.); #9651=VECTOR('',#33911,10.); #9652=VECTOR('',#33912,10.); #9653=VECTOR('',#33915,10.); #9654=VECTOR('',#33916,10.); #9655=VECTOR('',#33917,10.); #9656=VECTOR('',#33920,10.); #9657=VECTOR('',#33921,10.); #9658=VECTOR('',#33922,10.); #9659=VECTOR('',#33925,10.); #9660=VECTOR('',#33926,10.); #9661=VECTOR('',#33927,10.); #9662=VECTOR('',#33930,10.); #9663=VECTOR('',#33931,10.); #9664=VECTOR('',#33932,10.); #9665=VECTOR('',#33935,10.); #9666=VECTOR('',#33936,10.); #9667=VECTOR('',#33937,10.); #9668=VECTOR('',#33940,10.); #9669=VECTOR('',#33941,10.); #9670=VECTOR('',#33942,10.); #9671=VECTOR('',#33945,10.); #9672=VECTOR('',#33946,10.); #9673=VECTOR('',#33947,10.); #9674=VECTOR('',#33950,10.); #9675=VECTOR('',#33951,10.); #9676=VECTOR('',#33952,10.); #9677=VECTOR('',#33955,10.); #9678=VECTOR('',#33956,10.); #9679=VECTOR('',#33957,10.); #9680=VECTOR('',#33960,10.); #9681=VECTOR('',#33961,10.); #9682=VECTOR('',#33962,10.); #9683=VECTOR('',#33965,10.); #9684=VECTOR('',#33966,10.); #9685=VECTOR('',#33967,10.); #9686=VECTOR('',#33970,10.); #9687=VECTOR('',#33971,10.); #9688=VECTOR('',#33972,10.); #9689=VECTOR('',#33977,10.); #9690=VECTOR('',#33982,10.); #9691=VECTOR('',#33983,10.); #9692=VECTOR('',#33984,10.); #9693=VECTOR('',#33987,10.); #9694=VECTOR('',#33988,10.); #9695=VECTOR('',#33989,10.); #9696=VECTOR('',#33992,10.); #9697=VECTOR('',#33993,10.); #9698=VECTOR('',#33994,10.); #9699=VECTOR('',#33997,10.); #9700=VECTOR('',#33998,10.); #9701=VECTOR('',#33999,10.); #9702=VECTOR('',#34002,10.); #9703=VECTOR('',#34003,10.); #9704=VECTOR('',#34004,10.); #9705=VECTOR('',#34007,10.); #9706=VECTOR('',#34008,10.); #9707=VECTOR('',#34009,10.); #9708=VECTOR('',#34012,10.); #9709=VECTOR('',#34013,10.); #9710=VECTOR('',#34014,10.); #9711=VECTOR('',#34017,10.); #9712=VECTOR('',#34018,10.); #9713=VECTOR('',#34019,10.); #9714=VECTOR('',#34022,10.); #9715=VECTOR('',#34023,10.); #9716=VECTOR('',#34024,10.); #9717=VECTOR('',#34027,10.); #9718=VECTOR('',#34028,10.); #9719=VECTOR('',#34029,10.); #9720=VECTOR('',#34032,10.); #9721=VECTOR('',#34033,10.); #9722=VECTOR('',#34034,10.); #9723=VECTOR('',#34039,10.); #9724=VECTOR('',#34046,10.); #9725=VECTOR('',#34053,10.); #9726=VECTOR('',#34060,10.); #9727=VECTOR('',#34067,10.); #9728=VECTOR('',#34074,10.); #9729=VECTOR('',#34079,10.); #9730=VECTOR('',#34080,10.); #9731=VECTOR('',#34081,10.); #9732=VECTOR('',#34084,10.); #9733=VECTOR('',#34085,10.); #9734=VECTOR('',#34090,10.); #9735=VECTOR('',#34091,10.); #9736=VECTOR('',#34092,10.); #9737=VECTOR('',#34093,10.); #9738=VECTOR('',#34096,10.); #9739=VECTOR('',#34097,10.); #9740=VECTOR('',#34098,10.); #9741=VECTOR('',#34101,10.); #9742=VECTOR('',#34102,10.); #9743=VECTOR('',#34103,10.); #9744=VECTOR('',#34108,10.); #9745=VECTOR('',#34113,10.); #9746=VECTOR('',#34114,10.); #9747=VECTOR('',#34115,10.); #9748=VECTOR('',#34120,10.); #9749=VECTOR('',#34125,10.); #9750=VECTOR('',#34126,10.); #9751=VECTOR('',#34127,10.); #9752=VECTOR('',#34130,10.); #9753=VECTOR('',#34131,10.); #9754=VECTOR('',#34132,10.); #9755=VECTOR('',#34135,10.); #9756=VECTOR('',#34136,10.); #9757=VECTOR('',#34137,10.); #9758=VECTOR('',#34140,10.); #9759=VECTOR('',#34141,10.); #9760=VECTOR('',#34142,10.); #9761=VECTOR('',#34145,10.); #9762=VECTOR('',#34146,10.); #9763=VECTOR('',#34153,10.); #9764=VECTOR('',#34156,10.); #9765=VECTOR('',#34161,10.); #9766=VECTOR('',#34168,10.); #9767=VECTOR('',#34175,10.); #9768=VECTOR('',#34182,10.); #9769=VECTOR('',#34189,10.); #9770=VECTOR('',#34194,10.); #9771=VECTOR('',#34195,10.); #9772=VECTOR('',#34196,10.); #9773=VECTOR('',#34201,10.); #9774=VECTOR('',#34208,10.); #9775=VECTOR('',#34219,10.); #9776=VECTOR('',#34220,10.); #9777=VECTOR('',#34221,10.); #9778=VECTOR('',#34222,10.); #9779=VECTOR('',#34225,10.); #9780=VECTOR('',#34226,10.); #9781=VECTOR('',#34227,10.); #9782=VECTOR('',#34230,10.); #9783=VECTOR('',#34231,10.); #9784=VECTOR('',#34232,10.); #9785=VECTOR('',#34235,10.); #9786=VECTOR('',#34236,10.); #9787=VECTOR('',#34237,10.); #9788=VECTOR('',#34242,10.); #9789=VECTOR('',#34247,10.); #9790=VECTOR('',#34248,10.); #9791=VECTOR('',#34249,10.); #9792=VECTOR('',#34252,10.); #9793=VECTOR('',#34253,10.); #9794=VECTOR('',#34254,10.); #9795=VECTOR('',#34257,10.); #9796=VECTOR('',#34258,10.); #9797=VECTOR('',#34259,10.); #9798=VECTOR('',#34262,10.); #9799=VECTOR('',#34263,10.); #9800=VECTOR('',#34264,10.); #9801=VECTOR('',#34267,10.); #9802=VECTOR('',#34268,10.); #9803=VECTOR('',#34269,10.); #9804=VECTOR('',#34272,10.); #9805=VECTOR('',#34273,10.); #9806=VECTOR('',#34274,10.); #9807=VECTOR('',#34277,10.); #9808=VECTOR('',#34278,10.); #9809=VECTOR('',#34279,10.); #9810=VECTOR('',#34282,10.); #9811=VECTOR('',#34283,10.); #9812=VECTOR('',#34284,10.); #9813=VECTOR('',#34287,10.); #9814=VECTOR('',#34288,10.); #9815=VECTOR('',#34289,10.); #9816=VECTOR('',#34292,10.); #9817=VECTOR('',#34293,10.); #9818=VECTOR('',#34294,10.); #9819=VECTOR('',#34297,10.); #9820=VECTOR('',#34298,10.); #9821=VECTOR('',#34299,10.); #9822=VECTOR('',#34302,10.); #9823=VECTOR('',#34303,10.); #9824=VECTOR('',#34304,10.); #9825=VECTOR('',#34307,10.); #9826=VECTOR('',#34308,10.); #9827=VECTOR('',#34309,10.); #9828=VECTOR('',#34312,10.); #9829=VECTOR('',#34313,10.); #9830=VECTOR('',#34314,10.); #9831=VECTOR('',#34317,10.); #9832=VECTOR('',#34318,10.); #9833=VECTOR('',#34319,10.); #9834=VECTOR('',#34322,10.); #9835=VECTOR('',#34323,10.); #9836=VECTOR('',#34324,10.); #9837=VECTOR('',#34329,10.); #9838=VECTOR('',#34334,10.); #9839=VECTOR('',#34335,10.); #9840=VECTOR('',#34336,10.); #9841=VECTOR('',#34341,10.); #9842=VECTOR('',#34348,10.); #9843=VECTOR('',#34353,10.); #9844=VECTOR('',#34354,10.); #9845=VECTOR('',#34355,10.); #9846=VECTOR('',#34360,10.); #9847=VECTOR('',#34367,10.); #9848=VECTOR('',#34374,10.); #9849=VECTOR('',#34381,10.); #9850=VECTOR('',#34388,10.); #9851=VECTOR('',#34395,10.); #9852=VECTOR('',#34402,10.); #9853=VECTOR('',#34409,10.); #9854=VECTOR('',#34416,10.); #9855=VECTOR('',#34421,10.); #9856=VECTOR('',#34422,10.); #9857=VECTOR('',#34423,10.); #9858=VECTOR('',#34434,10.); #9859=VECTOR('',#34435,10.); #9860=VECTOR('',#34436,10.); #9861=VECTOR('',#34437,10.); #9862=VECTOR('',#34442,10.); #9863=VECTOR('',#34447,10.); #9864=VECTOR('',#34448,10.); #9865=VECTOR('',#34449,10.); #9866=VECTOR('',#34452,10.); #9867=VECTOR('',#34453,10.); #9868=VECTOR('',#34454,10.); #9869=VECTOR('',#34459,10.); #9870=VECTOR('',#34464,10.); #9871=VECTOR('',#34465,10.); #9872=VECTOR('',#34466,10.); #9873=VECTOR('',#34469,10.); #9874=VECTOR('',#34470,10.); #9875=VECTOR('',#34471,10.); #9876=VECTOR('',#34476,10.); #9877=VECTOR('',#34483,10.); #9878=VECTOR('',#34490,10.); #9879=VECTOR('',#34497,10.); #9880=VECTOR('',#34504,10.); #9881=VECTOR('',#34511,10.); #9882=VECTOR('',#34516,10.); #9883=VECTOR('',#34517,10.); #9884=VECTOR('',#34518,10.); #9885=VECTOR('',#34523,10.); #9886=VECTOR('',#34530,10.); #9887=VECTOR('',#34537,10.); #9888=VECTOR('',#34544,10.); #9889=VECTOR('',#34551,10.); #9890=VECTOR('',#34556,10.); #9891=VECTOR('',#34557,10.); #9892=VECTOR('',#34558,10.); #9893=VECTOR('',#34561,10.); #9894=VECTOR('',#34562,10.); #9895=VECTOR('',#34563,10.); #9896=VECTOR('',#34566,10.); #9897=VECTOR('',#34567,10.); #9898=VECTOR('',#34568,10.); #9899=VECTOR('',#34571,10.); #9900=VECTOR('',#34572,10.); #9901=VECTOR('',#34573,10.); #9902=VECTOR('',#34576,10.); #9903=VECTOR('',#34577,10.); #9904=VECTOR('',#34578,10.); #9905=VECTOR('',#34581,10.); #9906=VECTOR('',#34582,10.); #9907=VECTOR('',#34583,10.); #9908=VECTOR('',#34586,10.); #9909=VECTOR('',#34587,10.); #9910=VECTOR('',#34588,10.); #9911=VECTOR('',#34593,10.); #9912=VECTOR('',#34598,10.); #9913=VECTOR('',#34599,10.); #9914=VECTOR('',#34600,10.); #9915=VECTOR('',#34605,10.); #9916=VECTOR('',#34610,10.); #9917=VECTOR('',#34611,10.); #9918=VECTOR('',#34612,10.); #9919=VECTOR('',#34615,10.); #9920=VECTOR('',#34616,10.); #9921=VECTOR('',#34617,10.); #9922=VECTOR('',#34622,10.); #9923=VECTOR('',#34629,10.); #9924=VECTOR('',#34636,10.); #9925=VECTOR('',#34643,10.); #9926=VECTOR('',#34650,10.); #9927=VECTOR('',#34657,10.); #9928=VECTOR('',#34662,10.); #9929=VECTOR('',#34663,10.); #9930=VECTOR('',#34664,10.); #9931=VECTOR('',#34669,10.); #9932=VECTOR('',#34676,10.); #9933=VECTOR('',#34683,10.); #9934=VECTOR('',#34690,10.); #9935=VECTOR('',#34707,1000.); #9936=VECTOR('',#34708,1000.); #9937=VECTOR('',#34709,1000.); #9938=VECTOR('',#34710,1000.); #9939=VECTOR('',#34711,1000.); #9940=VECTOR('',#34712,1000.); #9941=VECTOR('',#34713,1000.); #9942=VECTOR('',#34714,1000.); #9943=VECTOR('',#34715,1000.); #9944=VECTOR('',#34716,1000.); #9945=VECTOR('',#34717,1000.); #9946=VECTOR('',#34718,1000.); #9947=VECTOR('',#34755,0.4); #9948=VECTOR('',#34760,0.399999999999999); #9949=VECTOR('',#34765,0.399999999999999); #9950=VECTOR('',#34770,0.399999999999999); #9951=VECTOR('',#34775,0.4); #9952=VECTOR('',#34780,0.399999999999999); #9953=VECTOR('',#34785,0.399999999999999); #9954=VECTOR('',#34790,0.4); #9955=VECTOR('',#34795,0.4); #9956=VECTOR('',#34800,0.399999999999999); #9957=VECTOR('',#34805,0.4); #9958=VECTOR('',#34810,0.400000000000001); #9959=VECTOR('',#34815,0.400000000000001); #9960=VECTOR('',#34820,0.399999999999999); #9961=VECTOR('',#34823,1000.); #9962=VECTOR('',#34824,1000.); #9963=VECTOR('',#34825,1000.); #9964=VECTOR('',#34826,1000.); #9965=VECTOR('',#34829,1000.); #9966=VECTOR('',#34830,1000.); #9967=VECTOR('',#34831,1000.); #9968=VECTOR('',#34832,1000.); #9969=VECTOR('',#34835,1000.); #9970=VECTOR('',#34836,1000.); #9971=VECTOR('',#34837,1000.); #9972=VECTOR('',#34838,1000.); #9973=VECTOR('',#34841,1000.); #9974=VECTOR('',#34842,1000.); #9975=VECTOR('',#34843,1000.); #9976=VECTOR('',#34844,1000.); #9977=VECTOR('',#34847,1000.); #9978=VECTOR('',#34848,1000.); #9979=VECTOR('',#34849,1000.); #9980=VECTOR('',#34852,1000.); #9981=VECTOR('',#34853,1000.); #9982=VECTOR('',#34854,1000.); #9983=VECTOR('',#34857,1000.); #9984=VECTOR('',#34858,1000.); #9985=VECTOR('',#34859,1000.); #9986=VECTOR('',#34862,1000.); #9987=VECTOR('',#34863,1000.); #9988=VECTOR('',#34864,1000.); #9989=VECTOR('',#34867,1000.); #9990=VECTOR('',#34868,1000.); #9991=VECTOR('',#34869,1000.); #9992=VECTOR('',#34872,1000.); #9993=VECTOR('',#34873,1000.); #9994=VECTOR('',#34876,1000.); #9995=VECTOR('',#34877,1000.); #9996=VECTOR('',#34880,1000.); #9997=VECTOR('',#34883,1000.); #9998=VECTOR('',#34884,1000.); #9999=VECTOR('',#34885,1000.); #10000=VECTOR('',#34886,1000.); #10001=VECTOR('',#34889,1000.); #10002=VECTOR('',#34890,1000.); #10003=VECTOR('',#34891,1000.); #10004=VECTOR('',#34894,1000.); #10005=VECTOR('',#34895,1000.); #10006=VECTOR('',#34896,1000.); #10007=VECTOR('',#34899,1000.); #10008=VECTOR('',#34900,1000.); #10009=VECTOR('',#34901,1000.); #10010=VECTOR('',#34904,1000.); #10011=VECTOR('',#34905,1000.); #10012=VECTOR('',#34906,1000.); #10013=VECTOR('',#34909,1000.); #10014=VECTOR('',#34910,1000.); #10015=VECTOR('',#34911,1000.); #10016=VECTOR('',#34914,1000.); #10017=VECTOR('',#34915,1000.); #10018=VECTOR('',#34918,1000.); #10019=VECTOR('',#34919,1000.); #10020=VECTOR('',#34922,1000.); #10021=VECTOR('',#34925,1000.); #10022=VECTOR('',#34926,1000.); #10023=VECTOR('',#34927,1000.); #10024=VECTOR('',#34930,1000.); #10025=VECTOR('',#34931,1000.); #10026=VECTOR('',#34932,1000.); #10027=VECTOR('',#34933,1000.); #10028=VECTOR('',#34936,1000.); #10029=VECTOR('',#34937,1000.); #10030=VECTOR('',#34938,1000.); #10031=VECTOR('',#34941,1000.); #10032=VECTOR('',#34942,1000.); #10033=VECTOR('',#34943,1000.); #10034=VECTOR('',#34946,1000.); #10035=VECTOR('',#34947,1000.); #10036=VECTOR('',#34948,1000.); #10037=VECTOR('',#34951,1000.); #10038=VECTOR('',#34952,1000.); #10039=VECTOR('',#34953,1000.); #10040=VECTOR('',#34958,1000.); #10041=VECTOR('',#34959,1000.); #10042=VECTOR('',#34960,1000.); #10043=VECTOR('',#34961,1000.); #10044=VECTOR('',#34964,1000.); #10045=VECTOR('',#34967,1000.); #10046=VECTOR('',#34968,1000.); #10047=VECTOR('',#34971,1000.); #10048=VECTOR('',#34974,1000.); #10049=VECTOR('',#34975,1000.); #10050=VECTOR('',#34978,1000.); #10051=VECTOR('',#34981,1000.); #10052=VECTOR('',#34982,1000.); #10053=VECTOR('',#34985,1000.); #10054=VECTOR('',#34988,1000.); #10055=VECTOR('',#34991,1000.); #10056=VECTOR('',#34992,1000.); #10057=VECTOR('',#34995,1000.); #10058=VECTOR('',#34998,1000.); #10059=VECTOR('',#34999,1000.); #10060=VECTOR('',#35002,1000.); #10061=VECTOR('',#35005,1000.); #10062=VECTOR('',#35006,1000.); #10063=VECTOR('',#35009,1000.); #10064=VECTOR('',#35010,1000.); #10065=VECTOR('',#35011,1000.); #10066=VECTOR('',#35012,1000.); #10067=VECTOR('',#35015,1000.); #10068=VECTOR('',#35016,1000.); #10069=VECTOR('',#35017,1000.); #10070=VECTOR('',#35020,1000.); #10071=VECTOR('',#35021,1000.); #10072=VECTOR('',#35022,1000.); #10073=VECTOR('',#35025,1000.); #10074=VECTOR('',#35026,1000.); #10075=VECTOR('',#35027,1000.); #10076=VECTOR('',#35030,1000.); #10077=VECTOR('',#35031,1000.); #10078=VECTOR('',#35032,1000.); #10079=VECTOR('',#35035,1000.); #10080=VECTOR('',#35036,1000.); #10081=VECTOR('',#35037,1000.); #10082=VECTOR('',#35040,1000.); #10083=VECTOR('',#35041,1000.); #10084=VECTOR('',#35044,1000.); #10085=VECTOR('',#35045,1000.); #10086=VECTOR('',#35048,1000.); #10087=VECTOR('',#35051,1000.); #10088=VECTOR('',#35052,1000.); #10089=VECTOR('',#35053,1000.); #10090=VECTOR('',#35056,1000.); #10091=VECTOR('',#35057,1000.); #10092=VECTOR('',#35058,1000.); #10093=VECTOR('',#35061,1000.); #10094=VECTOR('',#35062,1000.); #10095=VECTOR('',#35065,1000.); #10096=VECTOR('',#35066,1000.); #10097=VECTOR('',#35067,1000.); #10098=VECTOR('',#35070,1000.); #10099=VECTOR('',#35071,1000.); #10100=VECTOR('',#35074,1000.); #10101=VECTOR('',#35075,1000.); #10102=VECTOR('',#35076,1000.); #10103=VECTOR('',#35079,1000.); #10104=VECTOR('',#35080,1000.); #10105=VECTOR('',#35083,1000.); #10106=VECTOR('',#35084,1000.); #10107=VECTOR('',#35087,1000.); #10108=VECTOR('',#35088,1000.); #10109=VECTOR('',#35089,1000.); #10110=VECTOR('',#35090,1000.); #10111=VECTOR('',#35157,1000.); #10112=VECTOR('',#35160,1000.); #10113=VECTOR('',#35163,1000.); #10114=VECTOR('',#35166,1000.); #10115=VECTOR('',#35171,1000.); #10116=VECTOR('',#35174,1000.); #10117=VECTOR('',#35177,1000.); #10118=VECTOR('',#35180,1000.); #10119=VECTOR('',#35185,1000.); #10120=VECTOR('',#35188,1000.); #10121=VECTOR('',#35191,1000.); #10122=VECTOR('',#35194,1000.); #10123=CIRCLE('',#26203,0.25); #10124=CIRCLE('',#26204,0.25); #10125=CIRCLE('',#26216,0.25); #10126=CIRCLE('',#26221,0.25); #10127=CIRCLE('',#26226,0.250000000000002); #10128=CIRCLE('',#26231,0.25); #10129=CIRCLE('',#26236,0.25); #10130=CIRCLE('',#26241,0.25); #10131=CIRCLE('',#26246,0.25); #10132=CIRCLE('',#26251,0.25); #10133=CIRCLE('',#26256,0.25); #10134=CIRCLE('',#26261,0.25); #10135=CIRCLE('',#26266,0.25); #10136=CIRCLE('',#26271,0.25); #10137=CIRCLE('',#26276,0.25); #10138=CIRCLE('',#26281,0.25); #10139=CIRCLE('',#26286,0.25); #10140=CIRCLE('',#26291,0.25); #10141=CIRCLE('',#26296,0.25); #10142=CIRCLE('',#26301,0.25); #10143=CIRCLE('',#26306,0.25); #10144=CIRCLE('',#26311,0.249999999999999); #10145=CIRCLE('',#26316,0.25); #10146=CIRCLE('',#26321,0.249999999999999); #10147=CIRCLE('',#26326,0.25); #10148=CIRCLE('',#26331,0.250000000000002); #10149=CIRCLE('',#26336,0.25); #10150=CIRCLE('',#26341,0.25); #10151=CIRCLE('',#26346,0.25); #10152=CIRCLE('',#26351,0.25); #10153=CIRCLE('',#26356,0.249999999999999); #10154=CIRCLE('',#26361,0.25); #10155=CIRCLE('',#26366,0.25); #10156=CIRCLE('',#26371,0.25); #10157=CIRCLE('',#26376,0.25); #10158=CIRCLE('',#26381,0.25); #10159=CIRCLE('',#26386,0.25); #10160=CIRCLE('',#26391,0.25); #10161=CIRCLE('',#26396,0.25); #10162=CIRCLE('',#26401,0.25); #10163=CIRCLE('',#26406,0.25); #10164=CIRCLE('',#26411,0.25); #10165=CIRCLE('',#26416,0.25); #10166=CIRCLE('',#26419,0.25); #10167=CIRCLE('',#26421,0.25); #10168=CIRCLE('',#26423,0.25); #10169=CIRCLE('',#26425,0.25); #10170=CIRCLE('',#26427,0.25); #10171=CIRCLE('',#26429,0.25); #10172=CIRCLE('',#26431,0.25); #10173=CIRCLE('',#26433,0.25); #10174=CIRCLE('',#26435,0.25); #10175=CIRCLE('',#26485,0.25); #10176=CIRCLE('',#26490,0.25); #10177=CIRCLE('',#26495,0.25); #10178=CIRCLE('',#26500,0.25); #10179=CIRCLE('',#26505,0.25); #10180=CIRCLE('',#26510,0.25); #10181=CIRCLE('',#26515,0.249999999999999); #10182=CIRCLE('',#26520,0.25); #10183=CIRCLE('',#26525,0.25); #10184=CIRCLE('',#26530,0.25); #10185=CIRCLE('',#26535,0.249999999999999); #10186=CIRCLE('',#26540,0.25); #10187=CIRCLE('',#26545,0.25); #10188=CIRCLE('',#26550,0.25); #10189=CIRCLE('',#26555,0.25); #10190=CIRCLE('',#26560,0.25); #10191=CIRCLE('',#26565,0.25); #10192=CIRCLE('',#26570,0.25); #10193=CIRCLE('',#26575,0.25); #10194=CIRCLE('',#26580,0.25); #10195=CIRCLE('',#26585,0.250000000000002); #10196=CIRCLE('',#26590,0.25); #10197=CIRCLE('',#26595,0.25); #10198=CIRCLE('',#26600,0.25); #10199=CIRCLE('',#26605,0.25); #10200=CIRCLE('',#26610,0.25); #10201=CIRCLE('',#26615,0.250000000000002); #10202=CIRCLE('',#26620,0.25); #10203=CIRCLE('',#26625,0.25); #10204=CIRCLE('',#26630,0.25); #10205=CIRCLE('',#26635,0.25); #10206=CIRCLE('',#26640,0.25); #10207=CIRCLE('',#26685,0.25); #10208=CIRCLE('',#26686,0.25); #10209=CIRCLE('',#26695,0.25); #10210=CIRCLE('',#26696,0.25); #10211=CIRCLE('',#26705,0.25); #10212=CIRCLE('',#26706,0.25); #10213=CIRCLE('',#26715,0.25); #10214=CIRCLE('',#26716,0.25); #10215=CIRCLE('',#26725,0.25); #10216=CIRCLE('',#26726,0.25); #10217=CIRCLE('',#26735,0.25); #10218=CIRCLE('',#26736,0.25); #10219=CIRCLE('',#26745,0.25); #10220=CIRCLE('',#26746,0.25); #10221=CIRCLE('',#26755,0.25); #10222=CIRCLE('',#26756,0.25); #10223=CIRCLE('',#26767,0.200823452519847); #10224=CIRCLE('',#26768,0.200823452519847); #10225=CIRCLE('',#26771,476.6040111576); #10226=CIRCLE('',#26772,476.6040111576); #10227=CIRCLE('',#26774,0.200687116662636); #10228=CIRCLE('',#26775,0.200687116662636); #10229=CIRCLE('',#26778,0.200645226502145); #10230=CIRCLE('',#26779,0.200645226502145); #10231=CIRCLE('',#26781,477.393790971509); #10232=CIRCLE('',#26782,477.393790971509); #10233=CIRCLE('',#26784,0.205975987873385); #10234=CIRCLE('',#26785,0.205975987873385); #10235=CIRCLE('',#26787,0.205990056208648); #10236=CIRCLE('',#26788,0.205990056208648); #10237=CIRCLE('',#26791,0.250687116662636); #10238=CIRCLE('',#26792,0.250687116662636); #10239=CIRCLE('',#26794,476.6540111576); #10240=CIRCLE('',#26795,476.6540111576); #10241=CIRCLE('',#26798,0.250823452519848); #10242=CIRCLE('',#26799,0.250823452519848); #10243=CIRCLE('',#26803,0.255990056208649); #10244=CIRCLE('',#26804,0.255990056208649); #10245=CIRCLE('',#26806,0.255975987873385); #10246=CIRCLE('',#26807,0.255975987873385); #10247=CIRCLE('',#26809,477.443790971509); #10248=CIRCLE('',#26810,477.443790971509); #10249=CIRCLE('',#26812,0.250645226502143); #10250=CIRCLE('',#26813,0.250645226502143); #10251=CIRCLE('',#26817,1.22388407298749); #10252=CIRCLE('',#26818,1.22388407298749); #10253=CIRCLE('',#26828,0.235551669444117); #10254=CIRCLE('',#26829,0.235551669444117); #10255=CIRCLE('',#26833,0.161215512427949); #10256=CIRCLE('',#26834,0.161215512427949); #10257=CIRCLE('',#26836,0.105885647885933); #10258=CIRCLE('',#26837,0.105885647885933); #10259=CIRCLE('',#26840,0.10328688557093); #10260=CIRCLE('',#26841,0.10328688557093); #10261=CIRCLE('',#26852,0.262932401255245); #10262=CIRCLE('',#26853,0.262932401255245); #10263=CIRCLE('',#26868,0.241958708249277); #10264=CIRCLE('',#26869,0.241958708249277); #10265=CIRCLE('',#26871,0.450801077196276); #10266=CIRCLE('',#26872,0.450801077196276); #10267=CIRCLE('',#26875,0.244478941988022); #10268=CIRCLE('',#26876,0.244478941988022); #10269=CIRCLE('',#26878,0.244490250579948); #10270=CIRCLE('',#26879,0.244490250579948); #10271=CIRCLE('',#26881,7.55922919644548); #10272=CIRCLE('',#26882,7.55922919644548); #10273=CIRCLE('',#26889,0.211853224885804); #10274=CIRCLE('',#26890,0.211853224885804); #10275=CIRCLE('',#26893,1.16111908541571); #10276=CIRCLE('',#26894,1.16111908541571); #10277=CIRCLE('',#26896,0.434029075685802); #10278=CIRCLE('',#26897,0.434029075685802); #10279=CIRCLE('',#26899,2.22916784007601); #10280=CIRCLE('',#26900,2.22916784007601); #10281=CIRCLE('',#26903,0.10614620549894); #10282=CIRCLE('',#26904,0.10614620549894); #10283=CIRCLE('',#26908,0.262182557462929); #10284=CIRCLE('',#26909,0.262182557462929); #10285=CIRCLE('',#26918,0.108401633425211); #10286=CIRCLE('',#26919,0.108401633425211); #10287=CIRCLE('',#26921,0.108139383837339); #10288=CIRCLE('',#26922,0.108139383837339); #10289=CIRCLE('',#26926,6.16942037230856); #10290=CIRCLE('',#26927,6.16942037230856); #10291=CIRCLE('',#26929,0.175356689810481); #10292=CIRCLE('',#26930,0.175356689810481); #10293=CIRCLE('',#26933,0.0979064126025476); #10294=CIRCLE('',#26934,0.0979064126025476); #10295=CIRCLE('',#26936,0.0985044292531968); #10296=CIRCLE('',#26937,0.0985044292531968); #10297=CIRCLE('',#26941,0.536370080867928); #10298=CIRCLE('',#26942,0.536370080867928); #10299=CIRCLE('',#26944,0.708943908285752); #10300=CIRCLE('',#26945,0.708943908285752); #10301=CIRCLE('',#26950,0.368602761275507); #10302=CIRCLE('',#26951,0.368602761275507); #10303=CIRCLE('',#26958,2.45419086989191); #10304=CIRCLE('',#26959,2.45419086989191); #10305=CIRCLE('',#26973,0.201271049073407); #10306=CIRCLE('',#26974,0.201271049073407); #10307=CIRCLE('',#26976,99.2413123975639); #10308=CIRCLE('',#26977,99.2413123975639); #10309=CIRCLE('',#26983,0.512879031315534); #10310=CIRCLE('',#26984,0.512879031315534); #10311=CIRCLE('',#26988,0.9869953477311); #10312=CIRCLE('',#26989,0.9869953477311); #10313=CIRCLE('',#26993,0.761307805659155); #10314=CIRCLE('',#26994,0.761307805659155); #10315=CIRCLE('',#26996,0.808673731342306); #10316=CIRCLE('',#26997,0.808673731342306); #10317=CIRCLE('',#27001,0.146694332664815); #10318=CIRCLE('',#27002,0.146694332664815); #10319=CIRCLE('',#27011,0.108998179934282); #10320=CIRCLE('',#27012,0.108998179934282); #10321=CIRCLE('',#27017,0.502965558301602); #10322=CIRCLE('',#27018,0.502965558301602); #10323=CIRCLE('',#27024,0.101246339671838); #10324=CIRCLE('',#27025,0.101246339671838); #10325=CIRCLE('',#27031,0.386126257231987); #10326=CIRCLE('',#27032,0.386126257231987); #10327=CIRCLE('',#27037,0.235379580366797); #10328=CIRCLE('',#27038,0.235379580366797); #10329=CIRCLE('',#27042,0.138982893667438); #10330=CIRCLE('',#27043,0.138982893667438); #10331=CIRCLE('',#27048,0.420193977593654); #10332=CIRCLE('',#27049,0.420193977593654); #10333=CIRCLE('',#27051,0.420221849806797); #10334=CIRCLE('',#27052,0.420221849806797); #10335=CIRCLE('',#27055,47.5880241380734); #10336=CIRCLE('',#27056,47.5880241380734); #10337=CIRCLE('',#27058,0.217501344566678); #10338=CIRCLE('',#27059,0.217501344566678); #10339=CIRCLE('',#27061,0.217574804078641); #10340=CIRCLE('',#27062,0.217574804078641); #10341=CIRCLE('',#27064,0.204800323129082); #10342=CIRCLE('',#27065,0.204800323129082); #10343=CIRCLE('',#27067,2.93110054983534); #10344=CIRCLE('',#27068,2.93110054983534); #10345=CIRCLE('',#27070,0.264270961775295); #10346=CIRCLE('',#27071,0.264270961775295); #10347=CIRCLE('',#27073,0.264286283180424); #10348=CIRCLE('',#27074,0.264286283180424); #10349=CIRCLE('',#27077,4.0407625341236); #10350=CIRCLE('',#27078,4.0407625341236); #10351=CIRCLE('',#27083,0.270711222179827); #10352=CIRCLE('',#27084,0.270711222179827); #10353=CIRCLE('',#27091,0.111048302324259); #10354=CIRCLE('',#27092,0.111048302324259); #10355=CIRCLE('',#27094,0.453762899356555); #10356=CIRCLE('',#27095,0.453762899356555); #10357=CIRCLE('',#27097,3.14925305994308); #10358=CIRCLE('',#27098,3.14925305994308); #10359=CIRCLE('',#27100,0.454305734087614); #10360=CIRCLE('',#27101,0.454305734087614); #10361=CIRCLE('',#27103,0.454269049450738); #10362=CIRCLE('',#27104,0.454269049450738); #10363=CIRCLE('',#27106,0.411335219978431); #10364=CIRCLE('',#27107,0.411335219978431); #10365=CIRCLE('',#27109,0.411238451885687); #10366=CIRCLE('',#27110,0.411238451885687); #10367=CIRCLE('',#27113,11.5450739298965); #10368=CIRCLE('',#27114,11.5450739298965); #10369=CIRCLE('',#27116,0.221713685762893); #10370=CIRCLE('',#27117,0.221713685762893); #10371=CIRCLE('',#27119,0.221811750377288); #10372=CIRCLE('',#27120,0.221811750377288); #10373=CIRCLE('',#27122,0.207858752049829); #10374=CIRCLE('',#27123,0.207858752049829); #10375=CIRCLE('',#27125,3.34255132753398); #10376=CIRCLE('',#27126,3.34255132753398); #10377=CIRCLE('',#27128,0.255338978922101); #10378=CIRCLE('',#27129,0.255338978922101); #10379=CIRCLE('',#27131,0.255276745231071); #10380=CIRCLE('',#27132,0.255276745231071); #10381=CIRCLE('',#27135,0.161430796823501); #10382=CIRCLE('',#27136,0.161430796823501); #10383=CIRCLE('',#27142,0.267351499024259); #10384=CIRCLE('',#27143,0.267351499024259); #10385=CIRCLE('',#27149,0.122645447098662); #10386=CIRCLE('',#27150,0.122645447098662); #10387=CIRCLE('',#27152,0.489518720869274); #10388=CIRCLE('',#27153,0.489518720869274); #10389=CIRCLE('',#27155,0.489514261160241); #10390=CIRCLE('',#27156,0.489514261160241); #10391=CIRCLE('',#27159,6.92577541389333); #10392=CIRCLE('',#27160,6.92577541389333); #10393=CIRCLE('',#27162,0.455074280667154); #10394=CIRCLE('',#27163,0.455074280667154); #10395=CIRCLE('',#27165,0.455090994767036); #10396=CIRCLE('',#27166,0.455090994767036); #10397=CIRCLE('',#27171,0.256534041474127); #10398=CIRCLE('',#27172,0.256534041474127); #10399=CIRCLE('',#27181,26.7689659313644); #10400=CIRCLE('',#27182,26.7689659313644); #10401=CIRCLE('',#27189,0.251549152465648); #10402=CIRCLE('',#27190,0.251549152465648); #10403=CIRCLE('',#27196,0.129379565173044); #10404=CIRCLE('',#27197,0.129379565173044); #10405=CIRCLE('',#27209,6.15549799602536); #10406=CIRCLE('',#27210,6.15549799602536); #10407=CIRCLE('',#27221,8.435096269101); #10408=CIRCLE('',#27222,8.435096269101); #10409=CIRCLE('',#27228,0.229835980788822); #10410=CIRCLE('',#27229,0.229835980788822); #10411=CIRCLE('',#27248,0.251027668134232); #10412=CIRCLE('',#27249,0.251027668134232); #10413=CIRCLE('',#27257,57.1301777957877); #10414=CIRCLE('',#27258,57.1301777957877); #10415=CIRCLE('',#27276,6.11905185486767); #10416=CIRCLE('',#27277,6.11905185486767); #10417=CIRCLE('',#27285,12.2181712214909); #10418=CIRCLE('',#27286,12.2181712214909); #10419=CIRCLE('',#27288,17.1110263897744); #10420=CIRCLE('',#27289,17.1110263897744); #10421=CIRCLE('',#27296,124.389616343404); #10422=CIRCLE('',#27297,124.389616343404); #10423=CIRCLE('',#27301,187.448123553415); #10424=CIRCLE('',#27302,187.448123553415); #10425=CIRCLE('',#27306,0.615717473989527); #10426=CIRCLE('',#27307,0.615717473989527); #10427=CIRCLE('',#27309,94.2563523888946); #10428=CIRCLE('',#27310,94.2563523888946); #10429=CIRCLE('',#27314,165.230835070661); #10430=CIRCLE('',#27315,165.230835070661); #10431=CIRCLE('',#27317,39.7342922794324); #10432=CIRCLE('',#27318,39.7342922794324); #10433=CIRCLE('',#27324,0.323108280799681); #10434=CIRCLE('',#27325,0.323108280799681); #10435=CIRCLE('',#27327,69.9148593284141); #10436=CIRCLE('',#27328,69.9148593284141); #10437=CIRCLE('',#27332,9.82798410723196); #10438=CIRCLE('',#27333,9.82798410723196); #10439=CIRCLE('',#27336,9.05573558340719); #10440=CIRCLE('',#27337,9.05573558340719); #10441=CIRCLE('',#27339,24.2900221901028); #10442=CIRCLE('',#27340,24.2900221901028); #10443=CIRCLE('',#27344,0.723727038973038); #10444=CIRCLE('',#27345,0.723727038973038); #10445=CIRCLE('',#27347,15.0559214203856); #10446=CIRCLE('',#27348,15.0559214203856); #10447=CIRCLE('',#27350,24.6558614612535); #10448=CIRCLE('',#27351,24.6558614612535); #10449=CIRCLE('',#27354,380.38277337543); #10450=CIRCLE('',#27355,380.38277337543); #10451=CIRCLE('',#27360,89.1740293556282); #10452=CIRCLE('',#27361,89.1740293556282); #10453=CIRCLE('',#27366,0.408606990634027); #10454=CIRCLE('',#27367,0.408606990634027); #10455=CIRCLE('',#27370,0.202995229662345); #10456=CIRCLE('',#27371,0.202995229662345); #10457=CIRCLE('',#27373,3.99376467543063); #10458=CIRCLE('',#27374,3.99376467543063); #10459=CIRCLE('',#27376,0.201373720588783); #10460=CIRCLE('',#27377,0.201373720588783); #10461=CIRCLE('',#27379,0.762093138721679); #10462=CIRCLE('',#27380,0.762093138721679); #10463=CIRCLE('',#27383,0.303456802098295); #10464=CIRCLE('',#27384,0.303456802098295); #10465=CIRCLE('',#27386,2.42876931082614); #10466=CIRCLE('',#27387,2.42876931082614); #10467=CIRCLE('',#27389,0.213777600377775); #10468=CIRCLE('',#27390,0.213777600377775); #10469=CIRCLE('',#27392,4.22807650848817); #10470=CIRCLE('',#27393,4.22807650848817); #10471=CIRCLE('',#27395,0.461830613392075); #10472=CIRCLE('',#27396,0.461830613392075); #10473=CIRCLE('',#27398,3.88451765202881); #10474=CIRCLE('',#27399,3.88451765202881); #10475=CIRCLE('',#27401,0.46435883170015); #10476=CIRCLE('',#27402,0.46435883170015); #10477=CIRCLE('',#27404,0.46432966511252); #10478=CIRCLE('',#27405,0.46432966511252); #10479=CIRCLE('',#27409,0.476211731233281); #10480=CIRCLE('',#27410,0.476211731233281); #10481=CIRCLE('',#27412,0.476193816473938); #10482=CIRCLE('',#27413,0.476193816473938); #10483=CIRCLE('',#27415,3.41372798574699); #10484=CIRCLE('',#27416,3.41372798574699); #10485=CIRCLE('',#27418,0.454103214257103); #10486=CIRCLE('',#27419,0.454103214257103); #10487=CIRCLE('',#27421,2.10966125563456); #10488=CIRCLE('',#27422,2.10966125563456); #10489=CIRCLE('',#27426,0.510163544116022); #10490=CIRCLE('',#27427,0.510163544116022); #10491=CIRCLE('',#27429,1.33187145426453); #10492=CIRCLE('',#27430,1.33187145426453); #10493=CIRCLE('',#27433,0.238891413771648); #10494=CIRCLE('',#27434,0.238891413771648); #10495=CIRCLE('',#27436,4.0366981944696); #10496=CIRCLE('',#27437,4.0366981944696); #10497=CIRCLE('',#27440,0.295129532533078); #10498=CIRCLE('',#27441,0.295129532533078); #10499=CIRCLE('',#27446,0.106109549188688); #10500=CIRCLE('',#27447,0.106109549188688); #10501=CIRCLE('',#27453,0.241954573730854); #10502=CIRCLE('',#27454,0.241954573730854); #10503=CIRCLE('',#27457,8.65560171184424); #10504=CIRCLE('',#27458,8.65560171184424); #10505=CIRCLE('',#27460,1.89839481708246); #10506=CIRCLE('',#27461,1.89839481708246); #10507=CIRCLE('',#27468,0.108045040194683); #10508=CIRCLE('',#27469,0.108045040194683); #10509=CIRCLE('',#27471,0.108557897468153); #10510=CIRCLE('',#27472,0.108557897468153); #10511=CIRCLE('',#27474,3.87588755502245); #10512=CIRCLE('',#27475,3.87588755502245); #10513=CIRCLE('',#27478,0.148015778526987); #10514=CIRCLE('',#27479,0.148015778526987); #10515=CIRCLE('',#27481,0.148198631603146); #10516=CIRCLE('',#27482,0.148198631603146); #10517=CIRCLE('',#27484,1.16881318680343); #10518=CIRCLE('',#27485,1.16881318680343); #10519=CIRCLE('',#27488,0.102015200737297); #10520=CIRCLE('',#27489,0.102015200737297); #10521=CIRCLE('',#27498,0.150293195077759); #10522=CIRCLE('',#27499,0.150293195077759); #10523=CIRCLE('',#27504,0.520343663222806); #10524=CIRCLE('',#27505,0.520343663222806); #10525=CIRCLE('',#27517,0.476836816515297); #10526=CIRCLE('',#27518,0.476836816515297); #10527=CIRCLE('',#27524,0.23504123309135); #10528=CIRCLE('',#27525,0.23504123309135); #10529=CIRCLE('',#27530,270.229286540214); #10530=CIRCLE('',#27531,270.229286540214); #10531=CIRCLE('',#27537,0.264451606019413); #10532=CIRCLE('',#27538,0.264451606019413); #10533=CIRCLE('',#27541,0.208164567023987); #10534=CIRCLE('',#27542,0.208164567023987); #10535=CIRCLE('',#27544,0.208133016300912); #10536=CIRCLE('',#27545,0.208133016300912); #10537=CIRCLE('',#27547,1.8281641268208); #10538=CIRCLE('',#27548,1.8281641268208); #10539=CIRCLE('',#27550,0.292239632920795); #10540=CIRCLE('',#27551,0.292239632920795); #10541=CIRCLE('',#27553,4.54676734950239); #10542=CIRCLE('',#27554,4.54676734950239); #10543=CIRCLE('',#27567,0.227081782674138); #10544=CIRCLE('',#27568,0.227081782674138); #10545=CIRCLE('',#27571,0.345251429989514); #10546=CIRCLE('',#27572,0.345251429989514); #10547=CIRCLE('',#27574,31.0836617553768); #10548=CIRCLE('',#27575,31.0836617553768); #10549=CIRCLE('',#27578,0.216741158869119); #10550=CIRCLE('',#27579,0.216741158869119); #10551=CIRCLE('',#27581,0.216715319919295); #10552=CIRCLE('',#27582,0.216715319919295); #10553=CIRCLE('',#27584,1.32231170173841); #10554=CIRCLE('',#27585,1.32231170173841); #10555=CIRCLE('',#27595,1.34480952412838); #10556=CIRCLE('',#27596,1.34480952412838); #10557=CIRCLE('',#27598,0.515435602514643); #10558=CIRCLE('',#27599,0.515435602514643); #10559=CIRCLE('',#27601,1.73760822920161); #10560=CIRCLE('',#27602,1.73760822920161); #10561=CIRCLE('',#27604,0.529816826058766); #10562=CIRCLE('',#27605,0.529816826058766); #10563=CIRCLE('',#27607,2.38277415269586); #10564=CIRCLE('',#27608,2.38277415269586); #10565=CIRCLE('',#27611,0.987449839745427); #10566=CIRCLE('',#27612,0.987449839745427); #10567=CIRCLE('',#27614,0.586409270294827); #10568=CIRCLE('',#27615,0.586409270294827); #10569=CIRCLE('',#27628,86.361966232863); #10570=CIRCLE('',#27629,86.361966232863); #10571=CIRCLE('',#27634,0.13210540360536); #10572=CIRCLE('',#27635,0.13210540360536); #10573=CIRCLE('',#27648,5.548953568397); #10574=CIRCLE('',#27649,5.548953568397); #10575=CIRCLE('',#27655,0.137581861172615); #10576=CIRCLE('',#27656,0.137581861172615); #10577=CIRCLE('',#27668,8.43486791636045); #10578=CIRCLE('',#27669,8.43486791636045); #10579=CIRCLE('',#27674,0.256324122419585); #10580=CIRCLE('',#27675,0.256324122419585); #10581=CIRCLE('',#27684,26.7464179791981); #10582=CIRCLE('',#27685,26.7464179791981); #10583=CIRCLE('',#27692,0.251567677032482); #10584=CIRCLE('',#27693,0.251567677032482); #10585=CIRCLE('',#27699,0.129483887393784); #10586=CIRCLE('',#27700,0.129483887393784); #10587=CIRCLE('',#27712,6.17816988140502); #10588=CIRCLE('',#27713,6.17816988140502); #10589=CIRCLE('',#27722,0.345171816293981); #10590=CIRCLE('',#27723,0.345171816293981); #10591=CIRCLE('',#27731,1.80252049490803); #10592=CIRCLE('',#27732,1.80252049490803); #10593=CIRCLE('',#27734,0.218225234536555); #10594=CIRCLE('',#27735,0.218225234536555); #10595=CIRCLE('',#27737,2.15117766606221); #10596=CIRCLE('',#27738,2.15117766606221); #10597=CIRCLE('',#27740,0.744096029537043); #10598=CIRCLE('',#27741,0.744096029537043); #10599=CIRCLE('',#27743,0.295209800070557); #10600=CIRCLE('',#27744,0.295209800070557); #10601=CIRCLE('',#27746,0.524844288219765); #10602=CIRCLE('',#27747,0.524844288219765); #10603=CIRCLE('',#27754,0.313153118724009); #10604=CIRCLE('',#27755,0.313153118724009); #10605=CIRCLE('',#27771,0.316019864530866); #10606=CIRCLE('',#27772,0.316019864530866); #10607=CIRCLE('',#27785,8.45621481133372); #10608=CIRCLE('',#27786,8.45621481133372); #10609=CIRCLE('',#27788,0.526225800049879); #10610=CIRCLE('',#27789,0.526225800049879); #10611=CIRCLE('',#27791,0.403227372685029); #10612=CIRCLE('',#27792,0.403227372685029); #10613=CIRCLE('',#27794,2.31798214260487); #10614=CIRCLE('',#27795,2.31798214260487); #10615=CIRCLE('',#27797,0.763443350076913); #10616=CIRCLE('',#27798,0.763443350076913); #10617=CIRCLE('',#27800,0.600270228404692); #10618=CIRCLE('',#27801,0.600270228404692); #10619=CIRCLE('',#27809,3.01685463489314); #10620=CIRCLE('',#27810,3.01685463489314); #10621=CIRCLE('',#27813,36.2565399980206); #10622=CIRCLE('',#27814,36.2565399980206); #10623=CIRCLE('',#27822,0.224818277007369); #10624=CIRCLE('',#27823,0.224818277007369); #10625=CIRCLE('',#27825,2.54353679080824); #10626=CIRCLE('',#27826,2.54353679080824); #10627=CIRCLE('',#27828,0.198785725768237); #10628=CIRCLE('',#27829,0.198785725768237); #10629=CIRCLE('',#27831,3.99114658864245); #10630=CIRCLE('',#27832,3.99114658864245); #10631=CIRCLE('',#27834,0.239025375593919); #10632=CIRCLE('',#27835,0.239025375593919); #10633=CIRCLE('',#27837,0.602474581171344); #10634=CIRCLE('',#27838,0.602474581171344); #10635=CIRCLE('',#27841,0.295496714781098); #10636=CIRCLE('',#27842,0.295496714781098); #10637=CIRCLE('',#27844,0.633433396662879); #10638=CIRCLE('',#27845,0.633433396662879); #10639=CIRCLE('',#27847,1.87527496975165); #10640=CIRCLE('',#27848,1.87527496975165); #10641=CIRCLE('',#27854,0.367669241873666); #10642=CIRCLE('',#27855,0.367669241873666); #10643=CIRCLE('',#27873,0.285802114418117); #10644=CIRCLE('',#27874,0.285802114418117); #10645=CIRCLE('',#27877,0.474504137146246); #10646=CIRCLE('',#27878,0.474504137146246); #10647=CIRCLE('',#27880,1.96210062264968); #10648=CIRCLE('',#27881,1.96210062264968); #10649=CIRCLE('',#27884,0.599148397756275); #10650=CIRCLE('',#27885,0.599148397756275); #10651=CIRCLE('',#27887,8.20803812582015); #10652=CIRCLE('',#27888,8.20803812582015); #10653=CIRCLE('',#27890,0.557233592917792); #10654=CIRCLE('',#27891,0.557233592917792); #10655=CIRCLE('',#27893,0.772163647780959); #10656=CIRCLE('',#27894,0.772163647780959); #10657=CIRCLE('',#27896,1.72367625894352); #10658=CIRCLE('',#27897,1.72367625894352); #10659=CIRCLE('',#27899,0.545511127226984); #10660=CIRCLE('',#27900,0.545511127226984); #10661=CIRCLE('',#27902,1.30922633392875); #10662=CIRCLE('',#27903,1.30922633392875); #10663=CIRCLE('',#27905,0.329615366590383); #10664=CIRCLE('',#27906,0.329615366590383); #10665=CIRCLE('',#27908,53.2475411329811); #10666=CIRCLE('',#27909,53.2475411329811); #10667=CIRCLE('',#27912,0.158658652017715); #10668=CIRCLE('',#27913,0.158658652017715); #10669=CIRCLE('',#27917,0.125978557369013); #10670=CIRCLE('',#27918,0.125978557369013); #10671=CIRCLE('',#27922,0.219886717353664); #10672=CIRCLE('',#27923,0.219886717353664); #10673=CIRCLE('',#27927,10.7863328522342); #10674=CIRCLE('',#27928,10.7863328522342); #10675=CIRCLE('',#27930,0.419829112190272); #10676=CIRCLE('',#27931,0.419829112190272); #10677=CIRCLE('',#27933,0.41987797982275); #10678=CIRCLE('',#27934,0.41987797982275); #10679=CIRCLE('',#27936,0.398857618786281); #10680=CIRCLE('',#27937,0.398857618786281); #10681=CIRCLE('',#27939,0.398797339867515); #10682=CIRCLE('',#27940,0.398797339867515); #10683=CIRCLE('',#27942,2861.30223657227); #10684=CIRCLE('',#27943,2861.30223657227); #10685=CIRCLE('',#27946,0.154489256364199); #10686=CIRCLE('',#27947,0.154489256364199); #10687=CIRCLE('',#27949,0.154395426433273); #10688=CIRCLE('',#27950,0.154395426433273); #10689=CIRCLE('',#27952,0.186184722917873); #10690=CIRCLE('',#27953,0.186184722917873); #10691=CIRCLE('',#27955,0.186083616467694); #10692=CIRCLE('',#27956,0.186083616467694); #10693=CIRCLE('',#27958,25.1267249133369); #10694=CIRCLE('',#27959,25.1267249133369); #10695=CIRCLE('',#27968,0.115580394133745); #10696=CIRCLE('',#27969,0.115580394133745); #10697=CIRCLE('',#27972,0.103824670167136); #10698=CIRCLE('',#27973,0.103824670167136); #10699=CIRCLE('',#27977,0.112421775767821); #10700=CIRCLE('',#27978,0.112421775767821); #10701=CIRCLE('',#27980,7.22194723270568); #10702=CIRCLE('',#27981,7.22194723270568); #10703=CIRCLE('',#27983,0.391217951152276); #10704=CIRCLE('',#27984,0.391217951152276); #10705=CIRCLE('',#27986,0.391095379380757); #10706=CIRCLE('',#27987,0.391095379380757); #10707=CIRCLE('',#27989,0.422745701096069); #10708=CIRCLE('',#27990,0.422745701096069); #10709=CIRCLE('',#27992,3.15716364651921); #10710=CIRCLE('',#27993,3.15716364651921); #10711=CIRCLE('',#27996,0.148094197334344); #10712=CIRCLE('',#27997,0.148094197334344); #10713=CIRCLE('',#27999,0.147973187265048); #10714=CIRCLE('',#28000,0.147973187265048); #10715=CIRCLE('',#28002,0.180156375680125); #10716=CIRCLE('',#28003,0.180156375680125); #10717=CIRCLE('',#28005,0.179956815961717); #10718=CIRCLE('',#28006,0.179956815961717); #10719=CIRCLE('',#28008,26.3915090591448); #10720=CIRCLE('',#28009,26.3915090591448); #10721=CIRCLE('',#28014,0.4); #10722=CIRCLE('',#28015,0.399999999999999); #10723=CIRCLE('',#28016,0.399999999999999); #10724=CIRCLE('',#28017,0.399999999999999); #10725=CIRCLE('',#28018,0.4); #10726=CIRCLE('',#28019,0.399999999999999); #10727=CIRCLE('',#28020,0.399999999999999); #10728=CIRCLE('',#28021,0.4); #10729=CIRCLE('',#28022,0.4); #10730=CIRCLE('',#28023,0.399999999999999); #10731=CIRCLE('',#28024,0.4); #10732=CIRCLE('',#28025,0.400000000000001); #10733=CIRCLE('',#28026,0.400000000000001); #10734=CIRCLE('',#28027,0.399999999999999); #10735=CIRCLE('',#28031,0.4); #10736=CIRCLE('',#28033,0.399999999999999); #10737=CIRCLE('',#28035,0.399999999999999); #10738=CIRCLE('',#28037,0.399999999999999); #10739=CIRCLE('',#28039,0.4); #10740=CIRCLE('',#28041,0.399999999999999); #10741=CIRCLE('',#28043,0.399999999999999); #10742=CIRCLE('',#28045,0.4); #10743=CIRCLE('',#28047,0.4); #10744=CIRCLE('',#28049,0.399999999999999); #10745=CIRCLE('',#28051,0.4); #10746=CIRCLE('',#28053,0.400000000000001); #10747=CIRCLE('',#28055,0.400000000000001); #10748=CIRCLE('',#28057,0.399999999999999); #10749=CIRCLE('',#28086,0.1); #10750=CIRCLE('',#28087,0.0999999999999988); #10751=CIRCLE('',#28088,0.0999999999999996); #10752=CIRCLE('',#28089,0.0999999999999996); #10753=CIRCLE('',#28090,0.0999999999999996); #10754=CIRCLE('',#28091,0.0999999999999998); #10755=CIRCLE('',#28094,0.1); #10756=CIRCLE('',#28095,0.0999999999999998); #10757=CIRCLE('',#28096,0.0999999999999996); #10758=CIRCLE('',#28097,0.0999999999999996); #10759=CIRCLE('',#28098,0.0999999999999996); #10760=CIRCLE('',#28099,0.0999999999999988); #10761=CIRCLE('',#28119,0.200000000000003); #10762=CIRCLE('',#28120,0.400000000000003); #10763=CIRCLE('',#28122,0.200000000000003); #10764=CIRCLE('',#28123,0.400000000000003); #10765=CIRCLE('',#28127,0.200000000000003); #10766=CIRCLE('',#28128,0.400000000000003); #10767=CIRCLE('',#28130,0.200000000000003); #10768=CIRCLE('',#28131,0.400000000000003); #10769=CIRCLE('',#28135,0.2); #10770=CIRCLE('',#28136,0.4); #10771=CIRCLE('',#28138,0.2); #10772=CIRCLE('',#28139,0.4); #10773=CIRCLE('',#28143,0.2); #10774=CIRCLE('',#28144,0.4); #10775=CIRCLE('',#28146,0.2); #10776=CIRCLE('',#28147,0.4); #10777=VERTEX_POINT('',#35204); #10778=VERTEX_POINT('',#35205); #10779=VERTEX_POINT('',#35207); #10780=VERTEX_POINT('',#35209); #10781=VERTEX_POINT('',#35213); #10782=VERTEX_POINT('',#35215); #10783=VERTEX_POINT('',#35219); #10784=VERTEX_POINT('',#35220); #10785=VERTEX_POINT('',#35222); #10786=VERTEX_POINT('',#35224); #10787=VERTEX_POINT('',#35228); #10788=VERTEX_POINT('',#35230); #10789=VERTEX_POINT('',#35242); #10790=VERTEX_POINT('',#35243); #10791=VERTEX_POINT('',#35245); #10792=VERTEX_POINT('',#35247); #10793=VERTEX_POINT('',#35251); #10794=VERTEX_POINT('',#35252); #10795=VERTEX_POINT('',#35254); #10796=VERTEX_POINT('',#35256); #10797=VERTEX_POINT('',#35263); #10798=VERTEX_POINT('',#35265); #10799=VERTEX_POINT('',#35269); #10800=VERTEX_POINT('',#35270); #10801=VERTEX_POINT('',#35272); #10802=VERTEX_POINT('',#35274); #10803=VERTEX_POINT('',#35278); #10804=VERTEX_POINT('',#35279); #10805=VERTEX_POINT('',#35281); #10806=VERTEX_POINT('',#35283); #10807=VERTEX_POINT('',#35290); #10808=VERTEX_POINT('',#35292); #10809=VERTEX_POINT('',#35296); #10810=VERTEX_POINT('',#35297); #10811=VERTEX_POINT('',#35299); #10812=VERTEX_POINT('',#35301); #10813=VERTEX_POINT('',#35305); #10814=VERTEX_POINT('',#35306); #10815=VERTEX_POINT('',#35308); #10816=VERTEX_POINT('',#35310); #10817=VERTEX_POINT('',#35317); #10818=VERTEX_POINT('',#35319); #10819=VERTEX_POINT('',#35323); #10820=VERTEX_POINT('',#35324); #10821=VERTEX_POINT('',#35326); #10822=VERTEX_POINT('',#35328); #10823=VERTEX_POINT('',#35332); #10824=VERTEX_POINT('',#35333); #10825=VERTEX_POINT('',#35335); #10826=VERTEX_POINT('',#35337); #10827=VERTEX_POINT('',#35344); #10828=VERTEX_POINT('',#35346); #10829=VERTEX_POINT('',#35350); #10830=VERTEX_POINT('',#35351); #10831=VERTEX_POINT('',#35353); #10832=VERTEX_POINT('',#35355); #10833=VERTEX_POINT('',#35359); #10834=VERTEX_POINT('',#35360); #10835=VERTEX_POINT('',#35362); #10836=VERTEX_POINT('',#35364); #10837=VERTEX_POINT('',#35371); #10838=VERTEX_POINT('',#35373); #10839=VERTEX_POINT('',#35377); #10840=VERTEX_POINT('',#35378); #10841=VERTEX_POINT('',#35380); #10842=VERTEX_POINT('',#35382); #10843=VERTEX_POINT('',#35386); #10844=VERTEX_POINT('',#35387); #10845=VERTEX_POINT('',#35389); #10846=VERTEX_POINT('',#35391); #10847=VERTEX_POINT('',#35398); #10848=VERTEX_POINT('',#35399); #10849=VERTEX_POINT('',#35404); #10850=VERTEX_POINT('',#35405); #10851=VERTEX_POINT('',#35407); #10852=VERTEX_POINT('',#35409); #10853=VERTEX_POINT('',#35413); #10854=VERTEX_POINT('',#35414); #10855=VERTEX_POINT('',#35416); #10856=VERTEX_POINT('',#35418); #10857=VERTEX_POINT('',#35425); #10858=VERTEX_POINT('',#35426); #10859=VERTEX_POINT('',#35431); #10860=VERTEX_POINT('',#35432); #10861=VERTEX_POINT('',#35434); #10862=VERTEX_POINT('',#35436); #10863=VERTEX_POINT('',#35440); #10864=VERTEX_POINT('',#35441); #10865=VERTEX_POINT('',#35443); #10866=VERTEX_POINT('',#35445); #10867=VERTEX_POINT('',#35452); #10868=VERTEX_POINT('',#35453); #10869=VERTEX_POINT('',#35458); #10870=VERTEX_POINT('',#35459); #10871=VERTEX_POINT('',#35461); #10872=VERTEX_POINT('',#35463); #10873=VERTEX_POINT('',#35467); #10874=VERTEX_POINT('',#35468); #10875=VERTEX_POINT('',#35470); #10876=VERTEX_POINT('',#35472); #10877=VERTEX_POINT('',#35479); #10878=VERTEX_POINT('',#35480); #10879=VERTEX_POINT('',#35485); #10880=VERTEX_POINT('',#35486); #10881=VERTEX_POINT('',#35488); #10882=VERTEX_POINT('',#35490); #10883=VERTEX_POINT('',#35494); #10884=VERTEX_POINT('',#35495); #10885=VERTEX_POINT('',#35497); #10886=VERTEX_POINT('',#35499); #10887=VERTEX_POINT('',#35506); #10888=VERTEX_POINT('',#35507); #10889=VERTEX_POINT('',#35512); #10890=VERTEX_POINT('',#35513); #10891=VERTEX_POINT('',#35515); #10892=VERTEX_POINT('',#35517); #10893=VERTEX_POINT('',#35521); #10894=VERTEX_POINT('',#35522); #10895=VERTEX_POINT('',#35524); #10896=VERTEX_POINT('',#35526); #10897=VERTEX_POINT('',#35533); #10898=VERTEX_POINT('',#35534); #10899=VERTEX_POINT('',#35539); #10900=VERTEX_POINT('',#35540); #10901=VERTEX_POINT('',#35542); #10902=VERTEX_POINT('',#35544); #10903=VERTEX_POINT('',#35548); #10904=VERTEX_POINT('',#35549); #10905=VERTEX_POINT('',#35551); #10906=VERTEX_POINT('',#35553); #10907=VERTEX_POINT('',#35560); #10908=VERTEX_POINT('',#35561); #10909=VERTEX_POINT('',#35566); #10910=VERTEX_POINT('',#35567); #10911=VERTEX_POINT('',#35569); #10912=VERTEX_POINT('',#35571); #10913=VERTEX_POINT('',#35575); #10914=VERTEX_POINT('',#35576); #10915=VERTEX_POINT('',#35578); #10916=VERTEX_POINT('',#35580); #10917=VERTEX_POINT('',#35587); #10918=VERTEX_POINT('',#35588); #10919=VERTEX_POINT('',#35593); #10920=VERTEX_POINT('',#35594); #10921=VERTEX_POINT('',#35596); #10922=VERTEX_POINT('',#35598); #10923=VERTEX_POINT('',#35602); #10924=VERTEX_POINT('',#35603); #10925=VERTEX_POINT('',#35605); #10926=VERTEX_POINT('',#35607); #10927=VERTEX_POINT('',#35614); #10928=VERTEX_POINT('',#35615); #10929=VERTEX_POINT('',#35620); #10930=VERTEX_POINT('',#35621); #10931=VERTEX_POINT('',#35623); #10932=VERTEX_POINT('',#35625); #10933=VERTEX_POINT('',#35629); #10934=VERTEX_POINT('',#35630); #10935=VERTEX_POINT('',#35632); #10936=VERTEX_POINT('',#35634); #10937=VERTEX_POINT('',#35641); #10938=VERTEX_POINT('',#35642); #10939=VERTEX_POINT('',#35647); #10940=VERTEX_POINT('',#35648); #10941=VERTEX_POINT('',#35650); #10942=VERTEX_POINT('',#35652); #10943=VERTEX_POINT('',#35656); #10944=VERTEX_POINT('',#35657); #10945=VERTEX_POINT('',#35659); #10946=VERTEX_POINT('',#35661); #10947=VERTEX_POINT('',#35668); #10948=VERTEX_POINT('',#35670); #10949=VERTEX_POINT('',#35674); #10950=VERTEX_POINT('',#35675); #10951=VERTEX_POINT('',#35677); #10952=VERTEX_POINT('',#35679); #10953=VERTEX_POINT('',#35683); #10954=VERTEX_POINT('',#35684); #10955=VERTEX_POINT('',#35686); #10956=VERTEX_POINT('',#35688); #10957=VERTEX_POINT('',#35695); #10958=VERTEX_POINT('',#35697); #10959=VERTEX_POINT('',#35701); #10960=VERTEX_POINT('',#35702); #10961=VERTEX_POINT('',#35704); #10962=VERTEX_POINT('',#35706); #10963=VERTEX_POINT('',#35710); #10964=VERTEX_POINT('',#35711); #10965=VERTEX_POINT('',#35713); #10966=VERTEX_POINT('',#35715); #10967=VERTEX_POINT('',#35722); #10968=VERTEX_POINT('',#35724); #10969=VERTEX_POINT('',#35728); #10970=VERTEX_POINT('',#35729); #10971=VERTEX_POINT('',#35731); #10972=VERTEX_POINT('',#35733); #10973=VERTEX_POINT('',#35737); #10974=VERTEX_POINT('',#35738); #10975=VERTEX_POINT('',#35740); #10976=VERTEX_POINT('',#35742); #10977=VERTEX_POINT('',#35749); #10978=VERTEX_POINT('',#35751); #10979=VERTEX_POINT('',#35755); #10980=VERTEX_POINT('',#35756); #10981=VERTEX_POINT('',#35758); #10982=VERTEX_POINT('',#35760); #10983=VERTEX_POINT('',#35764); #10984=VERTEX_POINT('',#35765); #10985=VERTEX_POINT('',#35767); #10986=VERTEX_POINT('',#35769); #10987=VERTEX_POINT('',#35776); #10988=VERTEX_POINT('',#35778); #10989=VERTEX_POINT('',#35782); #10990=VERTEX_POINT('',#35783); #10991=VERTEX_POINT('',#35785); #10992=VERTEX_POINT('',#35787); #10993=VERTEX_POINT('',#35791); #10994=VERTEX_POINT('',#35792); #10995=VERTEX_POINT('',#35794); #10996=VERTEX_POINT('',#35796); #10997=VERTEX_POINT('',#35803); #10998=VERTEX_POINT('',#35805); #10999=VERTEX_POINT('',#35809); #11000=VERTEX_POINT('',#35810); #11001=VERTEX_POINT('',#35812); #11002=VERTEX_POINT('',#35814); #11003=VERTEX_POINT('',#35818); #11004=VERTEX_POINT('',#35819); #11005=VERTEX_POINT('',#35821); #11006=VERTEX_POINT('',#35823); #11007=VERTEX_POINT('',#35830); #11008=VERTEX_POINT('',#35832); #11009=VERTEX_POINT('',#35836); #11010=VERTEX_POINT('',#35837); #11011=VERTEX_POINT('',#35839); #11012=VERTEX_POINT('',#35841); #11013=VERTEX_POINT('',#35845); #11014=VERTEX_POINT('',#35846); #11015=VERTEX_POINT('',#35848); #11016=VERTEX_POINT('',#35850); #11017=VERTEX_POINT('',#35857); #11018=VERTEX_POINT('',#35859); #11019=VERTEX_POINT('',#35863); #11020=VERTEX_POINT('',#35864); #11021=VERTEX_POINT('',#35866); #11022=VERTEX_POINT('',#35868); #11023=VERTEX_POINT('',#35872); #11024=VERTEX_POINT('',#35873); #11025=VERTEX_POINT('',#35875); #11026=VERTEX_POINT('',#35877); #11027=VERTEX_POINT('',#35884); #11028=VERTEX_POINT('',#35886); #11029=VERTEX_POINT('',#35890); #11030=VERTEX_POINT('',#35891); #11031=VERTEX_POINT('',#35893); #11032=VERTEX_POINT('',#35895); #11033=VERTEX_POINT('',#35899); #11034=VERTEX_POINT('',#35900); #11035=VERTEX_POINT('',#35902); #11036=VERTEX_POINT('',#35904); #11037=VERTEX_POINT('',#35911); #11038=VERTEX_POINT('',#35913); #11039=VERTEX_POINT('',#35917); #11040=VERTEX_POINT('',#35918); #11041=VERTEX_POINT('',#35920); #11042=VERTEX_POINT('',#35922); #11043=VERTEX_POINT('',#35926); #11044=VERTEX_POINT('',#35927); #11045=VERTEX_POINT('',#35929); #11046=VERTEX_POINT('',#35931); #11047=VERTEX_POINT('',#35938); #11048=VERTEX_POINT('',#35940); #11049=VERTEX_POINT('',#35944); #11050=VERTEX_POINT('',#35945); #11051=VERTEX_POINT('',#35947); #11052=VERTEX_POINT('',#35949); #11053=VERTEX_POINT('',#35953); #11054=VERTEX_POINT('',#35954); #11055=VERTEX_POINT('',#35956); #11056=VERTEX_POINT('',#35958); #11057=VERTEX_POINT('',#35965); #11058=VERTEX_POINT('',#35966); #11059=VERTEX_POINT('',#35971); #11060=VERTEX_POINT('',#35972); #11061=VERTEX_POINT('',#35974); #11062=VERTEX_POINT('',#35976); #11063=VERTEX_POINT('',#35980); #11064=VERTEX_POINT('',#35981); #11065=VERTEX_POINT('',#35983); #11066=VERTEX_POINT('',#35985); #11067=VERTEX_POINT('',#35992); #11068=VERTEX_POINT('',#35993); #11069=VERTEX_POINT('',#35998); #11070=VERTEX_POINT('',#35999); #11071=VERTEX_POINT('',#36001); #11072=VERTEX_POINT('',#36003); #11073=VERTEX_POINT('',#36007); #11074=VERTEX_POINT('',#36008); #11075=VERTEX_POINT('',#36010); #11076=VERTEX_POINT('',#36012); #11077=VERTEX_POINT('',#36019); #11078=VERTEX_POINT('',#36020); #11079=VERTEX_POINT('',#36025); #11080=VERTEX_POINT('',#36026); #11081=VERTEX_POINT('',#36028); #11082=VERTEX_POINT('',#36030); #11083=VERTEX_POINT('',#36034); #11084=VERTEX_POINT('',#36035); #11085=VERTEX_POINT('',#36037); #11086=VERTEX_POINT('',#36039); #11087=VERTEX_POINT('',#36046); #11088=VERTEX_POINT('',#36047); #11089=VERTEX_POINT('',#36052); #11090=VERTEX_POINT('',#36053); #11091=VERTEX_POINT('',#36055); #11092=VERTEX_POINT('',#36057); #11093=VERTEX_POINT('',#36061); #11094=VERTEX_POINT('',#36062); #11095=VERTEX_POINT('',#36064); #11096=VERTEX_POINT('',#36066); #11097=VERTEX_POINT('',#36073); #11098=VERTEX_POINT('',#36075); #11099=VERTEX_POINT('',#36079); #11100=VERTEX_POINT('',#36080); #11101=VERTEX_POINT('',#36082); #11102=VERTEX_POINT('',#36084); #11103=VERTEX_POINT('',#36088); #11104=VERTEX_POINT('',#36089); #11105=VERTEX_POINT('',#36091); #11106=VERTEX_POINT('',#36093); #11107=VERTEX_POINT('',#36100); #11108=VERTEX_POINT('',#36101); #11109=VERTEX_POINT('',#36106); #11110=VERTEX_POINT('',#36107); #11111=VERTEX_POINT('',#36109); #11112=VERTEX_POINT('',#36111); #11113=VERTEX_POINT('',#36115); #11114=VERTEX_POINT('',#36116); #11115=VERTEX_POINT('',#36118); #11116=VERTEX_POINT('',#36120); #11117=VERTEX_POINT('',#36127); #11118=VERTEX_POINT('',#36128); #11119=VERTEX_POINT('',#36133); #11120=VERTEX_POINT('',#36134); #11121=VERTEX_POINT('',#36136); #11122=VERTEX_POINT('',#36138); #11123=VERTEX_POINT('',#36142); #11124=VERTEX_POINT('',#36143); #11125=VERTEX_POINT('',#36145); #11126=VERTEX_POINT('',#36147); #11127=VERTEX_POINT('',#36154); #11128=VERTEX_POINT('',#36155); #11129=VERTEX_POINT('',#36160); #11130=VERTEX_POINT('',#36161); #11131=VERTEX_POINT('',#36163); #11132=VERTEX_POINT('',#36165); #11133=VERTEX_POINT('',#36169); #11134=VERTEX_POINT('',#36170); #11135=VERTEX_POINT('',#36172); #11136=VERTEX_POINT('',#36174); #11137=VERTEX_POINT('',#36181); #11138=VERTEX_POINT('',#36183); #11139=VERTEX_POINT('',#36187); #11140=VERTEX_POINT('',#36188); #11141=VERTEX_POINT('',#36190); #11142=VERTEX_POINT('',#36192); #11143=VERTEX_POINT('',#36196); #11144=VERTEX_POINT('',#36197); #11145=VERTEX_POINT('',#36199); #11146=VERTEX_POINT('',#36201); #11147=VERTEX_POINT('',#36208); #11148=VERTEX_POINT('',#36209); #11149=VERTEX_POINT('',#36214); #11150=VERTEX_POINT('',#36215); #11151=VERTEX_POINT('',#36217); #11152=VERTEX_POINT('',#36219); #11153=VERTEX_POINT('',#36223); #11154=VERTEX_POINT('',#36224); #11155=VERTEX_POINT('',#36226); #11156=VERTEX_POINT('',#36228); #11157=VERTEX_POINT('',#36235); #11158=VERTEX_POINT('',#36237); #11159=VERTEX_POINT('',#36241); #11160=VERTEX_POINT('',#36242); #11161=VERTEX_POINT('',#36244); #11162=VERTEX_POINT('',#36246); #11163=VERTEX_POINT('',#36250); #11164=VERTEX_POINT('',#36251); #11165=VERTEX_POINT('',#36253); #11166=VERTEX_POINT('',#36255); #11167=VERTEX_POINT('',#36262); #11168=VERTEX_POINT('',#36264); #11169=VERTEX_POINT('',#36268); #11170=VERTEX_POINT('',#36269); #11171=VERTEX_POINT('',#36271); #11172=VERTEX_POINT('',#36273); #11173=VERTEX_POINT('',#36277); #11174=VERTEX_POINT('',#36278); #11175=VERTEX_POINT('',#36280); #11176=VERTEX_POINT('',#36282); #11177=VERTEX_POINT('',#36289); #11178=VERTEX_POINT('',#36291); #11179=VERTEX_POINT('',#36295); #11180=VERTEX_POINT('',#36296); #11181=VERTEX_POINT('',#36298); #11182=VERTEX_POINT('',#36300); #11183=VERTEX_POINT('',#36304); #11184=VERTEX_POINT('',#36305); #11185=VERTEX_POINT('',#36307); #11186=VERTEX_POINT('',#36309); #11187=VERTEX_POINT('',#36316); #11188=VERTEX_POINT('',#36318); #11189=VERTEX_POINT('',#36322); #11190=VERTEX_POINT('',#36323); #11191=VERTEX_POINT('',#36325); #11192=VERTEX_POINT('',#36327); #11193=VERTEX_POINT('',#36331); #11194=VERTEX_POINT('',#36332); #11195=VERTEX_POINT('',#36334); #11196=VERTEX_POINT('',#36336); #11197=VERTEX_POINT('',#36343); #11198=VERTEX_POINT('',#36344); #11199=VERTEX_POINT('',#36351); #11200=VERTEX_POINT('',#36369); #11201=VERTEX_POINT('',#36371); #11202=VERTEX_POINT('',#36389); #11203=VERTEX_POINT('',#36399); #11204=VERTEX_POINT('',#36400); #11205=VERTEX_POINT('',#36405); #11206=VERTEX_POINT('',#36406); #11207=VERTEX_POINT('',#36411); #11208=VERTEX_POINT('',#36412); #11209=VERTEX_POINT('',#36417); #11210=VERTEX_POINT('',#36418); #11211=VERTEX_POINT('',#36423); #11212=VERTEX_POINT('',#36424); #11213=VERTEX_POINT('',#36429); #11214=VERTEX_POINT('',#36430); #11215=VERTEX_POINT('',#36435); #11216=VERTEX_POINT('',#36436); #11217=VERTEX_POINT('',#36441); #11218=VERTEX_POINT('',#36442); #11219=VERTEX_POINT('',#36447); #11220=VERTEX_POINT('',#36448); #11221=VERTEX_POINT('',#36469); #11222=VERTEX_POINT('',#36473); #11223=VERTEX_POINT('',#36475); #11224=VERTEX_POINT('',#36477); #11225=VERTEX_POINT('',#36479); #11226=VERTEX_POINT('',#36481); #11227=VERTEX_POINT('',#36483); #11228=VERTEX_POINT('',#36485); #11229=VERTEX_POINT('',#36487); #11230=VERTEX_POINT('',#36489); #11231=VERTEX_POINT('',#36491); #11232=VERTEX_POINT('',#36493); #11233=VERTEX_POINT('',#36495); #11234=VERTEX_POINT('',#36497); #11235=VERTEX_POINT('',#36499); #11236=VERTEX_POINT('',#36501); #11237=VERTEX_POINT('',#36503); #11238=VERTEX_POINT('',#36505); #11239=VERTEX_POINT('',#36507); #11240=VERTEX_POINT('',#36509); #11241=VERTEX_POINT('',#36511); #11242=VERTEX_POINT('',#36513); #11243=VERTEX_POINT('',#36515); #11244=VERTEX_POINT('',#36517); #11245=VERTEX_POINT('',#36519); #11246=VERTEX_POINT('',#36521); #11247=VERTEX_POINT('',#36523); #11248=VERTEX_POINT('',#36525); #11249=VERTEX_POINT('',#36527); #11250=VERTEX_POINT('',#36529); #11251=VERTEX_POINT('',#36531); #11252=VERTEX_POINT('',#36533); #11253=VERTEX_POINT('',#36535); #11254=VERTEX_POINT('',#36537); #11255=VERTEX_POINT('',#36539); #11256=VERTEX_POINT('',#36541); #11257=VERTEX_POINT('',#36543); #11258=VERTEX_POINT('',#36545); #11259=VERTEX_POINT('',#36547); #11260=VERTEX_POINT('',#36549); #11261=VERTEX_POINT('',#36551); #11262=VERTEX_POINT('',#36553); #11263=VERTEX_POINT('',#36555); #11264=VERTEX_POINT('',#36557); #11265=VERTEX_POINT('',#36559); #11266=VERTEX_POINT('',#36561); #11267=VERTEX_POINT('',#36563); #11268=VERTEX_POINT('',#36565); #11269=VERTEX_POINT('',#36567); #11270=VERTEX_POINT('',#36569); #11271=VERTEX_POINT('',#36571); #11272=VERTEX_POINT('',#36573); #11273=VERTEX_POINT('',#36575); #11274=VERTEX_POINT('',#36577); #11275=VERTEX_POINT('',#36579); #11276=VERTEX_POINT('',#36581); #11277=VERTEX_POINT('',#36583); #11278=VERTEX_POINT('',#36585); #11279=VERTEX_POINT('',#36587); #11280=VERTEX_POINT('',#36589); #11281=VERTEX_POINT('',#36591); #11282=VERTEX_POINT('',#36593); #11283=VERTEX_POINT('',#36595); #11284=VERTEX_POINT('',#36597); #11285=VERTEX_POINT('',#36599); #11286=VERTEX_POINT('',#36601); #11287=VERTEX_POINT('',#36603); #11288=VERTEX_POINT('',#36605); #11289=VERTEX_POINT('',#36607); #11290=VERTEX_POINT('',#36609); #11291=VERTEX_POINT('',#36611); #11292=VERTEX_POINT('',#36613); #11293=VERTEX_POINT('',#36615); #11294=VERTEX_POINT('',#36617); #11295=VERTEX_POINT('',#36619); #11296=VERTEX_POINT('',#36621); #11297=VERTEX_POINT('',#36623); #11298=VERTEX_POINT('',#36625); #11299=VERTEX_POINT('',#36627); #11300=VERTEX_POINT('',#36629); #11301=VERTEX_POINT('',#36631); #11302=VERTEX_POINT('',#36633); #11303=VERTEX_POINT('',#36635); #11304=VERTEX_POINT('',#36637); #11305=VERTEX_POINT('',#36639); #11306=VERTEX_POINT('',#36641); #11307=VERTEX_POINT('',#36643); #11308=VERTEX_POINT('',#36645); #11309=VERTEX_POINT('',#36647); #11310=VERTEX_POINT('',#36649); #11311=VERTEX_POINT('',#36651); #11312=VERTEX_POINT('',#36653); #11313=VERTEX_POINT('',#36655); #11314=VERTEX_POINT('',#36657); #11315=VERTEX_POINT('',#36659); #11316=VERTEX_POINT('',#36661); #11317=VERTEX_POINT('',#36663); #11318=VERTEX_POINT('',#36665); #11319=VERTEX_POINT('',#36667); #11320=VERTEX_POINT('',#36669); #11321=VERTEX_POINT('',#36671); #11322=VERTEX_POINT('',#36673); #11323=VERTEX_POINT('',#36675); #11324=VERTEX_POINT('',#36677); #11325=VERTEX_POINT('',#36679); #11326=VERTEX_POINT('',#36681); #11327=VERTEX_POINT('',#36683); #11328=VERTEX_POINT('',#36685); #11329=VERTEX_POINT('',#36687); #11330=VERTEX_POINT('',#36689); #11331=VERTEX_POINT('',#36691); #11332=VERTEX_POINT('',#36693); #11333=VERTEX_POINT('',#36695); #11334=VERTEX_POINT('',#36697); #11335=VERTEX_POINT('',#36699); #11336=VERTEX_POINT('',#36701); #11337=VERTEX_POINT('',#36703); #11338=VERTEX_POINT('',#36705); #11339=VERTEX_POINT('',#36707); #11340=VERTEX_POINT('',#36709); #11341=VERTEX_POINT('',#36711); #11342=VERTEX_POINT('',#36713); #11343=VERTEX_POINT('',#36715); #11344=VERTEX_POINT('',#36717); #11345=VERTEX_POINT('',#36719); #11346=VERTEX_POINT('',#36721); #11347=VERTEX_POINT('',#36723); #11348=VERTEX_POINT('',#36725); #11349=VERTEX_POINT('',#36727); #11350=VERTEX_POINT('',#36729); #11351=VERTEX_POINT('',#36731); #11352=VERTEX_POINT('',#36733); #11353=VERTEX_POINT('',#36736); #11354=VERTEX_POINT('',#36737); #11355=VERTEX_POINT('',#36739); #11356=VERTEX_POINT('',#36741); #11357=VERTEX_POINT('',#36745); #11358=VERTEX_POINT('',#36747); #11359=VERTEX_POINT('',#36750); #11360=VERTEX_POINT('',#36752); #11361=VERTEX_POINT('',#36756); #11362=VERTEX_POINT('',#36758); #11363=VERTEX_POINT('',#36761); #11364=VERTEX_POINT('',#36763); #11365=VERTEX_POINT('',#36767); #11366=VERTEX_POINT('',#36769); #11367=VERTEX_POINT('',#36772); #11368=VERTEX_POINT('',#36774); #11369=VERTEX_POINT('',#36778); #11370=VERTEX_POINT('',#36779); #11371=VERTEX_POINT('',#36782); #11372=VERTEX_POINT('',#36784); #11373=VERTEX_POINT('',#36789); #11374=VERTEX_POINT('',#36791); #11375=VERTEX_POINT('',#36794); #11376=VERTEX_POINT('',#36796); #11377=VERTEX_POINT('',#36800); #11378=VERTEX_POINT('',#36802); #11379=VERTEX_POINT('',#36805); #11380=VERTEX_POINT('',#36807); #11381=VERTEX_POINT('',#36811); #11382=VERTEX_POINT('',#36813); #11383=VERTEX_POINT('',#36816); #11384=VERTEX_POINT('',#36818); #11385=VERTEX_POINT('',#36822); #11386=VERTEX_POINT('',#36823); #11387=VERTEX_POINT('',#36826); #11388=VERTEX_POINT('',#36828); #11389=VERTEX_POINT('',#36833); #11390=VERTEX_POINT('',#36835); #11391=VERTEX_POINT('',#36838); #11392=VERTEX_POINT('',#36840); #11393=VERTEX_POINT('',#36844); #11394=VERTEX_POINT('',#36846); #11395=VERTEX_POINT('',#36849); #11396=VERTEX_POINT('',#36851); #11397=VERTEX_POINT('',#36855); #11398=VERTEX_POINT('',#36856); #11399=VERTEX_POINT('',#36859); #11400=VERTEX_POINT('',#36861); #11401=VERTEX_POINT('',#36866); #11402=VERTEX_POINT('',#36868); #11403=VERTEX_POINT('',#36871); #11404=VERTEX_POINT('',#36873); #11405=VERTEX_POINT('',#36877); #11406=VERTEX_POINT('',#36878); #11407=VERTEX_POINT('',#36881); #11408=VERTEX_POINT('',#36883); #11409=VERTEX_POINT('',#36888); #11410=VERTEX_POINT('',#36890); #11411=VERTEX_POINT('',#36893); #11412=VERTEX_POINT('',#36895); #11413=VERTEX_POINT('',#36899); #11414=VERTEX_POINT('',#36900); #11415=VERTEX_POINT('',#36903); #11416=VERTEX_POINT('',#36905); #11417=VERTEX_POINT('',#36910); #11418=VERTEX_POINT('',#36912); #11419=VERTEX_POINT('',#36917); #11420=VERTEX_POINT('',#36919); #11421=VERTEX_POINT('',#36922); #11422=VERTEX_POINT('',#36924); #11423=VERTEX_POINT('',#36928); #11424=VERTEX_POINT('',#36929); #11425=VERTEX_POINT('',#36932); #11426=VERTEX_POINT('',#36934); #11427=VERTEX_POINT('',#36939); #11428=VERTEX_POINT('',#36941); #11429=VERTEX_POINT('',#36944); #11430=VERTEX_POINT('',#36946); #11431=VERTEX_POINT('',#36950); #11432=VERTEX_POINT('',#36951); #11433=VERTEX_POINT('',#36954); #11434=VERTEX_POINT('',#36956); #11435=VERTEX_POINT('',#36961); #11436=VERTEX_POINT('',#36963); #11437=VERTEX_POINT('',#36966); #11438=VERTEX_POINT('',#36968); #11439=VERTEX_POINT('',#36972); #11440=VERTEX_POINT('',#36973); #11441=VERTEX_POINT('',#36976); #11442=VERTEX_POINT('',#36978); #11443=VERTEX_POINT('',#36983); #11444=VERTEX_POINT('',#36984); #11445=VERTEX_POINT('',#36987); #11446=VERTEX_POINT('',#36989); #11447=VERTEX_POINT('',#36994); #11448=VERTEX_POINT('',#36995); #11449=VERTEX_POINT('',#36998); #11450=VERTEX_POINT('',#37000); #11451=VERTEX_POINT('',#37005); #11452=VERTEX_POINT('',#37007); #11453=VERTEX_POINT('',#37010); #11454=VERTEX_POINT('',#37012); #11455=VERTEX_POINT('',#37016); #11456=VERTEX_POINT('',#37017); #11457=VERTEX_POINT('',#37020); #11458=VERTEX_POINT('',#37022); #11459=VERTEX_POINT('',#37027); #11460=VERTEX_POINT('',#37029); #11461=VERTEX_POINT('',#37034); #11462=VERTEX_POINT('',#37035); #11463=VERTEX_POINT('',#37038); #11464=VERTEX_POINT('',#37040); #11465=VERTEX_POINT('',#37045); #11466=VERTEX_POINT('',#37047); #11467=VERTEX_POINT('',#37050); #11468=VERTEX_POINT('',#37052); #11469=VERTEX_POINT('',#37056); #11470=VERTEX_POINT('',#37057); #11471=VERTEX_POINT('',#37060); #11472=VERTEX_POINT('',#37062); #11473=VERTEX_POINT('',#37067); #11474=VERTEX_POINT('',#37068); #11475=VERTEX_POINT('',#37071); #11476=VERTEX_POINT('',#37073); #11477=VERTEX_POINT('',#37078); #11478=VERTEX_POINT('',#37079); #11479=VERTEX_POINT('',#37082); #11480=VERTEX_POINT('',#37084); #11481=VERTEX_POINT('',#37091); #11482=VERTEX_POINT('',#37092); #11483=VERTEX_POINT('',#37097); #11484=VERTEX_POINT('',#37098); #11485=VERTEX_POINT('',#37103); #11486=VERTEX_POINT('',#37107); #11487=VERTEX_POINT('',#37115); #11488=VERTEX_POINT('',#37119); #11489=VERTEX_POINT('',#37127); #11490=VERTEX_POINT('',#37131); #11491=VERTEX_POINT('',#37139); #11492=VERTEX_POINT('',#37143); #11493=VERTEX_POINT('',#37151); #11494=VERTEX_POINT('',#37155); #11495=VERTEX_POINT('',#37163); #11496=VERTEX_POINT('',#37167); #11497=VERTEX_POINT('',#37175); #11498=VERTEX_POINT('',#37179); #11499=VERTEX_POINT('',#37187); #11500=VERTEX_POINT('',#37191); #11501=VERTEX_POINT('',#37199); #11502=VERTEX_POINT('',#37203); #11503=VERTEX_POINT('',#37211); #11504=VERTEX_POINT('',#37215); #11505=VERTEX_POINT('',#37223); #11506=VERTEX_POINT('',#37227); #11507=VERTEX_POINT('',#37235); #11508=VERTEX_POINT('',#37239); #11509=VERTEX_POINT('',#37247); #11510=VERTEX_POINT('',#37251); #11511=VERTEX_POINT('',#37259); #11512=VERTEX_POINT('',#37263); #11513=VERTEX_POINT('',#37271); #11514=VERTEX_POINT('',#37275); #11515=VERTEX_POINT('',#37283); #11516=VERTEX_POINT('',#37287); #11517=VERTEX_POINT('',#37295); #11518=VERTEX_POINT('',#37299); #11519=VERTEX_POINT('',#37307); #11520=VERTEX_POINT('',#37311); #11521=VERTEX_POINT('',#37319); #11522=VERTEX_POINT('',#37323); #11523=VERTEX_POINT('',#37331); #11524=VERTEX_POINT('',#37335); #11525=VERTEX_POINT('',#37343); #11526=VERTEX_POINT('',#37347); #11527=VERTEX_POINT('',#37355); #11528=VERTEX_POINT('',#37359); #11529=VERTEX_POINT('',#37367); #11530=VERTEX_POINT('',#37371); #11531=VERTEX_POINT('',#37379); #11532=VERTEX_POINT('',#37383); #11533=VERTEX_POINT('',#37391); #11534=VERTEX_POINT('',#37395); #11535=VERTEX_POINT('',#37403); #11536=VERTEX_POINT('',#37407); #11537=VERTEX_POINT('',#37415); #11538=VERTEX_POINT('',#37419); #11539=VERTEX_POINT('',#37427); #11540=VERTEX_POINT('',#37431); #11541=VERTEX_POINT('',#37439); #11542=VERTEX_POINT('',#37443); #11543=VERTEX_POINT('',#37451); #11544=VERTEX_POINT('',#37455); #11545=VERTEX_POINT('',#37463); #11546=VERTEX_POINT('',#37467); #11547=VERTEX_POINT('',#37475); #11548=VERTEX_POINT('',#37479); #11549=VERTEX_POINT('',#37519); #11550=VERTEX_POINT('',#37520); #11551=VERTEX_POINT('',#37522); #11552=VERTEX_POINT('',#37524); #11553=VERTEX_POINT('',#37527); #11554=VERTEX_POINT('',#37528); #11555=VERTEX_POINT('',#37530); #11556=VERTEX_POINT('',#37532); #11557=VERTEX_POINT('',#37544); #11558=VERTEX_POINT('',#37545); #11559=VERTEX_POINT('',#37550); #11560=VERTEX_POINT('',#37554); #11561=VERTEX_POINT('',#37562); #11562=VERTEX_POINT('',#37563); #11563=VERTEX_POINT('',#37565); #11564=VERTEX_POINT('',#37567); #11565=VERTEX_POINT('',#37571); #11566=VERTEX_POINT('',#37573); #11567=VERTEX_POINT('',#37577); #11568=VERTEX_POINT('',#37579); #11569=VERTEX_POINT('',#37583); #11570=VERTEX_POINT('',#37585); #11571=VERTEX_POINT('',#37589); #11572=VERTEX_POINT('',#37591); #11573=VERTEX_POINT('',#37600); #11574=VERTEX_POINT('',#37601); #11575=VERTEX_POINT('',#37603); #11576=VERTEX_POINT('',#37605); #11577=VERTEX_POINT('',#37609); #11578=VERTEX_POINT('',#37611); #11579=VERTEX_POINT('',#37615); #11580=VERTEX_POINT('',#37617); #11581=VERTEX_POINT('',#37621); #11582=VERTEX_POINT('',#37623); #11583=VERTEX_POINT('',#37627); #11584=VERTEX_POINT('',#37629); #11585=VERTEX_POINT('',#37638); #11586=VERTEX_POINT('',#37639); #11587=VERTEX_POINT('',#37641); #11588=VERTEX_POINT('',#37643); #11589=VERTEX_POINT('',#37647); #11590=VERTEX_POINT('',#37649); #11591=VERTEX_POINT('',#37653); #11592=VERTEX_POINT('',#37655); #11593=VERTEX_POINT('',#37659); #11594=VERTEX_POINT('',#37661); #11595=VERTEX_POINT('',#37665); #11596=VERTEX_POINT('',#37667); #11597=VERTEX_POINT('',#37676); #11598=VERTEX_POINT('',#37677); #11599=VERTEX_POINT('',#37679); #11600=VERTEX_POINT('',#37681); #11601=VERTEX_POINT('',#37685); #11602=VERTEX_POINT('',#37687); #11603=VERTEX_POINT('',#37691); #11604=VERTEX_POINT('',#37693); #11605=VERTEX_POINT('',#37697); #11606=VERTEX_POINT('',#37699); #11607=VERTEX_POINT('',#37703); #11608=VERTEX_POINT('',#37705); #11609=VERTEX_POINT('',#37714); #11610=VERTEX_POINT('',#37715); #11611=VERTEX_POINT('',#37717); #11612=VERTEX_POINT('',#37719); #11613=VERTEX_POINT('',#37723); #11614=VERTEX_POINT('',#37725); #11615=VERTEX_POINT('',#37729); #11616=VERTEX_POINT('',#37731); #11617=VERTEX_POINT('',#37735); #11618=VERTEX_POINT('',#37737); #11619=VERTEX_POINT('',#37741); #11620=VERTEX_POINT('',#37743); #11621=VERTEX_POINT('',#37752); #11622=VERTEX_POINT('',#37753); #11623=VERTEX_POINT('',#37755); #11624=VERTEX_POINT('',#37757); #11625=VERTEX_POINT('',#37761); #11626=VERTEX_POINT('',#37763); #11627=VERTEX_POINT('',#37767); #11628=VERTEX_POINT('',#37769); #11629=VERTEX_POINT('',#37773); #11630=VERTEX_POINT('',#37775); #11631=VERTEX_POINT('',#37779); #11632=VERTEX_POINT('',#37781); #11633=VERTEX_POINT('',#37790); #11634=VERTEX_POINT('',#37791); #11635=VERTEX_POINT('',#37793); #11636=VERTEX_POINT('',#37795); #11637=VERTEX_POINT('',#37799); #11638=VERTEX_POINT('',#37801); #11639=VERTEX_POINT('',#37805); #11640=VERTEX_POINT('',#37807); #11641=VERTEX_POINT('',#37811); #11642=VERTEX_POINT('',#37813); #11643=VERTEX_POINT('',#37817); #11644=VERTEX_POINT('',#37819); #11645=VERTEX_POINT('',#37828); #11646=VERTEX_POINT('',#37829); #11647=VERTEX_POINT('',#37831); #11648=VERTEX_POINT('',#37833); #11649=VERTEX_POINT('',#37837); #11650=VERTEX_POINT('',#37839); #11651=VERTEX_POINT('',#37843); #11652=VERTEX_POINT('',#37845); #11653=VERTEX_POINT('',#37849); #11654=VERTEX_POINT('',#37851); #11655=VERTEX_POINT('',#37855); #11656=VERTEX_POINT('',#37857); #11657=VERTEX_POINT('',#37867); #11658=VERTEX_POINT('',#37868); #11659=VERTEX_POINT('',#37870); #11660=VERTEX_POINT('',#37872); #11661=VERTEX_POINT('',#37876); #11662=VERTEX_POINT('',#37878); #11663=VERTEX_POINT('',#37882); #11664=VERTEX_POINT('',#37884); #11665=VERTEX_POINT('',#37888); #11666=VERTEX_POINT('',#37890); #11667=VERTEX_POINT('',#37894); #11668=VERTEX_POINT('',#37896); #11669=VERTEX_POINT('',#37900); #11670=VERTEX_POINT('',#37902); #11671=VERTEX_POINT('',#37906); #11672=VERTEX_POINT('',#37908); #11673=VERTEX_POINT('',#37912); #11674=VERTEX_POINT('',#37914); #11675=VERTEX_POINT('',#37918); #11676=VERTEX_POINT('',#37920); #11677=VERTEX_POINT('',#37924); #11678=VERTEX_POINT('',#37926); #11679=VERTEX_POINT('',#37933); #11680=VERTEX_POINT('',#37934); #11681=VERTEX_POINT('',#37936); #11682=VERTEX_POINT('',#37938); #11683=VERTEX_POINT('',#37942); #11684=VERTEX_POINT('',#37944); #11685=VERTEX_POINT('',#37948); #11686=VERTEX_POINT('',#37950); #11687=VERTEX_POINT('',#37954); #11688=VERTEX_POINT('',#37956); #11689=VERTEX_POINT('',#37960); #11690=VERTEX_POINT('',#37962); #11691=VERTEX_POINT('',#37966); #11692=VERTEX_POINT('',#37968); #11693=VERTEX_POINT('',#37972); #11694=VERTEX_POINT('',#37974); #11695=VERTEX_POINT('',#37978); #11696=VERTEX_POINT('',#37980); #11697=VERTEX_POINT('',#37984); #11698=VERTEX_POINT('',#37986); #11699=VERTEX_POINT('',#37990); #11700=VERTEX_POINT('',#37992); #11701=VERTEX_POINT('',#38000); #11702=VERTEX_POINT('',#38001); #11703=VERTEX_POINT('',#38003); #11704=VERTEX_POINT('',#38005); #11705=VERTEX_POINT('',#38009); #11706=VERTEX_POINT('',#38011); #11707=VERTEX_POINT('',#38015); #11708=VERTEX_POINT('',#38017); #11709=VERTEX_POINT('',#38021); #11710=VERTEX_POINT('',#38023); #11711=VERTEX_POINT('',#38027); #11712=VERTEX_POINT('',#38029); #11713=VERTEX_POINT('',#38033); #11714=VERTEX_POINT('',#38035); #11715=VERTEX_POINT('',#38039); #11716=VERTEX_POINT('',#38041); #11717=VERTEX_POINT('',#38045); #11718=VERTEX_POINT('',#38047); #11719=VERTEX_POINT('',#38051); #11720=VERTEX_POINT('',#38053); #11721=VERTEX_POINT('',#38057); #11722=VERTEX_POINT('',#38059); #11723=VERTEX_POINT('',#38063); #11724=VERTEX_POINT('',#38065); #11725=VERTEX_POINT('',#38069); #11726=VERTEX_POINT('',#38071); #11727=VERTEX_POINT('',#38075); #11728=VERTEX_POINT('',#38077); #11729=VERTEX_POINT('',#38081); #11730=VERTEX_POINT('',#38083); #11731=VERTEX_POINT('',#38087); #11732=VERTEX_POINT('',#38089); #11733=VERTEX_POINT('',#38093); #11734=VERTEX_POINT('',#38095); #11735=VERTEX_POINT('',#38099); #11736=VERTEX_POINT('',#38101); #11737=VERTEX_POINT('',#38105); #11738=VERTEX_POINT('',#38107); #11739=VERTEX_POINT('',#38111); #11740=VERTEX_POINT('',#38113); #11741=VERTEX_POINT('',#38117); #11742=VERTEX_POINT('',#38119); #11743=VERTEX_POINT('',#38123); #11744=VERTEX_POINT('',#38125); #11745=VERTEX_POINT('',#38129); #11746=VERTEX_POINT('',#38131); #11747=VERTEX_POINT('',#38135); #11748=VERTEX_POINT('',#38137); #11749=VERTEX_POINT('',#38141); #11750=VERTEX_POINT('',#38143); #11751=VERTEX_POINT('',#38147); #11752=VERTEX_POINT('',#38149); #11753=VERTEX_POINT('',#38153); #11754=VERTEX_POINT('',#38155); #11755=VERTEX_POINT('',#38159); #11756=VERTEX_POINT('',#38161); #11757=VERTEX_POINT('',#38165); #11758=VERTEX_POINT('',#38167); #11759=VERTEX_POINT('',#38171); #11760=VERTEX_POINT('',#38173); #11761=VERTEX_POINT('',#38177); #11762=VERTEX_POINT('',#38179); #11763=VERTEX_POINT('',#38187); #11764=VERTEX_POINT('',#38188); #11765=VERTEX_POINT('',#38190); #11766=VERTEX_POINT('',#38192); #11767=VERTEX_POINT('',#38196); #11768=VERTEX_POINT('',#38198); #11769=VERTEX_POINT('',#38202); #11770=VERTEX_POINT('',#38204); #11771=VERTEX_POINT('',#38208); #11772=VERTEX_POINT('',#38210); #11773=VERTEX_POINT('',#38214); #11774=VERTEX_POINT('',#38216); #11775=VERTEX_POINT('',#38220); #11776=VERTEX_POINT('',#38222); #11777=VERTEX_POINT('',#38226); #11778=VERTEX_POINT('',#38228); #11779=VERTEX_POINT('',#38232); #11780=VERTEX_POINT('',#38234); #11781=VERTEX_POINT('',#38238); #11782=VERTEX_POINT('',#38240); #11783=VERTEX_POINT('',#38244); #11784=VERTEX_POINT('',#38246); #11785=VERTEX_POINT('',#38250); #11786=VERTEX_POINT('',#38252); #11787=VERTEX_POINT('',#38256); #11788=VERTEX_POINT('',#38258); #11789=VERTEX_POINT('',#38262); #11790=VERTEX_POINT('',#38264); #11791=VERTEX_POINT('',#38268); #11792=VERTEX_POINT('',#38270); #11793=VERTEX_POINT('',#38274); #11794=VERTEX_POINT('',#38276); #11795=VERTEX_POINT('',#38280); #11796=VERTEX_POINT('',#38282); #11797=VERTEX_POINT('',#38286); #11798=VERTEX_POINT('',#38288); #11799=VERTEX_POINT('',#38292); #11800=VERTEX_POINT('',#38294); #11801=VERTEX_POINT('',#38298); #11802=VERTEX_POINT('',#38300); #11803=VERTEX_POINT('',#38304); #11804=VERTEX_POINT('',#38306); #11805=VERTEX_POINT('',#38310); #11806=VERTEX_POINT('',#38312); #11807=VERTEX_POINT('',#38316); #11808=VERTEX_POINT('',#38318); #11809=VERTEX_POINT('',#38322); #11810=VERTEX_POINT('',#38324); #11811=VERTEX_POINT('',#38328); #11812=VERTEX_POINT('',#38330); #11813=VERTEX_POINT('',#38334); #11814=VERTEX_POINT('',#38336); #11815=VERTEX_POINT('',#38340); #11816=VERTEX_POINT('',#38342); #11817=VERTEX_POINT('',#38346); #11818=VERTEX_POINT('',#38348); #11819=VERTEX_POINT('',#38352); #11820=VERTEX_POINT('',#38354); #11821=VERTEX_POINT('',#38358); #11822=VERTEX_POINT('',#38360); #11823=VERTEX_POINT('',#38364); #11824=VERTEX_POINT('',#38366); #11825=VERTEX_POINT('',#38370); #11826=VERTEX_POINT('',#38372); #11827=VERTEX_POINT('',#38376); #11828=VERTEX_POINT('',#38378); #11829=VERTEX_POINT('',#38386); #11830=VERTEX_POINT('',#38387); #11831=VERTEX_POINT('',#38389); #11832=VERTEX_POINT('',#38391); #11833=VERTEX_POINT('',#38395); #11834=VERTEX_POINT('',#38397); #11835=VERTEX_POINT('',#38401); #11836=VERTEX_POINT('',#38403); #11837=VERTEX_POINT('',#38407); #11838=VERTEX_POINT('',#38409); #11839=VERTEX_POINT('',#38413); #11840=VERTEX_POINT('',#38415); #11841=VERTEX_POINT('',#38419); #11842=VERTEX_POINT('',#38421); #11843=VERTEX_POINT('',#38425); #11844=VERTEX_POINT('',#38427); #11845=VERTEX_POINT('',#38431); #11846=VERTEX_POINT('',#38433); #11847=VERTEX_POINT('',#38437); #11848=VERTEX_POINT('',#38439); #11849=VERTEX_POINT('',#38443); #11850=VERTEX_POINT('',#38445); #11851=VERTEX_POINT('',#38449); #11852=VERTEX_POINT('',#38451); #11853=VERTEX_POINT('',#38455); #11854=VERTEX_POINT('',#38457); #11855=VERTEX_POINT('',#38461); #11856=VERTEX_POINT('',#38463); #11857=VERTEX_POINT('',#38467); #11858=VERTEX_POINT('',#38469); #11859=VERTEX_POINT('',#38473); #11860=VERTEX_POINT('',#38475); #11861=VERTEX_POINT('',#38479); #11862=VERTEX_POINT('',#38481); #11863=VERTEX_POINT('',#38485); #11864=VERTEX_POINT('',#38487); #11865=VERTEX_POINT('',#38491); #11866=VERTEX_POINT('',#38493); #11867=VERTEX_POINT('',#38497); #11868=VERTEX_POINT('',#38499); #11869=VERTEX_POINT('',#38503); #11870=VERTEX_POINT('',#38505); #11871=VERTEX_POINT('',#38509); #11872=VERTEX_POINT('',#38511); #11873=VERTEX_POINT('',#38515); #11874=VERTEX_POINT('',#38517); #11875=VERTEX_POINT('',#38521); #11876=VERTEX_POINT('',#38523); #11877=VERTEX_POINT('',#38527); #11878=VERTEX_POINT('',#38529); #11879=VERTEX_POINT('',#38533); #11880=VERTEX_POINT('',#38535); #11881=VERTEX_POINT('',#38539); #11882=VERTEX_POINT('',#38541); #11883=VERTEX_POINT('',#38545); #11884=VERTEX_POINT('',#38547); #11885=VERTEX_POINT('',#38551); #11886=VERTEX_POINT('',#38553); #11887=VERTEX_POINT('',#38557); #11888=VERTEX_POINT('',#38559); #11889=VERTEX_POINT('',#38563); #11890=VERTEX_POINT('',#38565); #11891=VERTEX_POINT('',#38569); #11892=VERTEX_POINT('',#38571); #11893=VERTEX_POINT('',#38575); #11894=VERTEX_POINT('',#38577); #11895=VERTEX_POINT('',#38581); #11896=VERTEX_POINT('',#38583); #11897=VERTEX_POINT('',#38587); #11898=VERTEX_POINT('',#38589); #11899=VERTEX_POINT('',#38593); #11900=VERTEX_POINT('',#38595); #11901=VERTEX_POINT('',#38599); #11902=VERTEX_POINT('',#38601); #11903=VERTEX_POINT('',#38605); #11904=VERTEX_POINT('',#38607); #11905=VERTEX_POINT('',#38611); #11906=VERTEX_POINT('',#38613); #11907=VERTEX_POINT('',#38617); #11908=VERTEX_POINT('',#38619); #11909=VERTEX_POINT('',#38623); #11910=VERTEX_POINT('',#38625); #11911=VERTEX_POINT('',#38629); #11912=VERTEX_POINT('',#38631); #11913=VERTEX_POINT('',#38635); #11914=VERTEX_POINT('',#38637); #11915=VERTEX_POINT('',#38641); #11916=VERTEX_POINT('',#38643); #11917=VERTEX_POINT('',#38647); #11918=VERTEX_POINT('',#38649); #11919=VERTEX_POINT('',#38653); #11920=VERTEX_POINT('',#38655); #11921=VERTEX_POINT('',#38659); #11922=VERTEX_POINT('',#38661); #11923=VERTEX_POINT('',#38665); #11924=VERTEX_POINT('',#38667); #11925=VERTEX_POINT('',#38671); #11926=VERTEX_POINT('',#38673); #11927=VERTEX_POINT('',#38677); #11928=VERTEX_POINT('',#38679); #11929=VERTEX_POINT('',#38683); #11930=VERTEX_POINT('',#38685); #11931=VERTEX_POINT('',#38689); #11932=VERTEX_POINT('',#38691); #11933=VERTEX_POINT('',#38695); #11934=VERTEX_POINT('',#38697); #11935=VERTEX_POINT('',#38701); #11936=VERTEX_POINT('',#38703); #11937=VERTEX_POINT('',#38707); #11938=VERTEX_POINT('',#38709); #11939=VERTEX_POINT('',#38713); #11940=VERTEX_POINT('',#38715); #11941=VERTEX_POINT('',#38719); #11942=VERTEX_POINT('',#38721); #11943=VERTEX_POINT('',#38725); #11944=VERTEX_POINT('',#38727); #11945=VERTEX_POINT('',#38731); #11946=VERTEX_POINT('',#38733); #11947=VERTEX_POINT('',#38737); #11948=VERTEX_POINT('',#38739); #11949=VERTEX_POINT('',#38743); #11950=VERTEX_POINT('',#38745); #11951=VERTEX_POINT('',#38749); #11952=VERTEX_POINT('',#38751); #11953=VERTEX_POINT('',#38755); #11954=VERTEX_POINT('',#38757); #11955=VERTEX_POINT('',#38761); #11956=VERTEX_POINT('',#38763); #11957=VERTEX_POINT('',#38767); #11958=VERTEX_POINT('',#38769); #11959=VERTEX_POINT('',#38773); #11960=VERTEX_POINT('',#38775); #11961=VERTEX_POINT('',#38779); #11962=VERTEX_POINT('',#38781); #11963=VERTEX_POINT('',#38785); #11964=VERTEX_POINT('',#38787); #11965=VERTEX_POINT('',#38791); #11966=VERTEX_POINT('',#38793); #11967=VERTEX_POINT('',#38797); #11968=VERTEX_POINT('',#38799); #11969=VERTEX_POINT('',#38803); #11970=VERTEX_POINT('',#38805); #11971=VERTEX_POINT('',#38809); #11972=VERTEX_POINT('',#38811); #11973=VERTEX_POINT('',#38815); #11974=VERTEX_POINT('',#38817); #11975=VERTEX_POINT('',#38821); #11976=VERTEX_POINT('',#38823); #11977=VERTEX_POINT('',#38827); #11978=VERTEX_POINT('',#38829); #11979=VERTEX_POINT('',#38833); #11980=VERTEX_POINT('',#38835); #11981=VERTEX_POINT('',#38839); #11982=VERTEX_POINT('',#38841); #11983=VERTEX_POINT('',#38845); #11984=VERTEX_POINT('',#38847); #11985=VERTEX_POINT('',#38851); #11986=VERTEX_POINT('',#38853); #11987=VERTEX_POINT('',#38857); #11988=VERTEX_POINT('',#38859); #11989=VERTEX_POINT('',#38863); #11990=VERTEX_POINT('',#38865); #11991=VERTEX_POINT('',#38869); #11992=VERTEX_POINT('',#38871); #11993=VERTEX_POINT('',#38875); #11994=VERTEX_POINT('',#38877); #11995=VERTEX_POINT('',#38881); #11996=VERTEX_POINT('',#38883); #11997=VERTEX_POINT('',#38891); #11998=VERTEX_POINT('',#38892); #11999=VERTEX_POINT('',#38894); #12000=VERTEX_POINT('',#38896); #12001=VERTEX_POINT('',#38900); #12002=VERTEX_POINT('',#38902); #12003=VERTEX_POINT('',#38906); #12004=VERTEX_POINT('',#38908); #12005=VERTEX_POINT('',#38912); #12006=VERTEX_POINT('',#38914); #12007=VERTEX_POINT('',#38918); #12008=VERTEX_POINT('',#38920); #12009=VERTEX_POINT('',#38924); #12010=VERTEX_POINT('',#38926); #12011=VERTEX_POINT('',#38930); #12012=VERTEX_POINT('',#38932); #12013=VERTEX_POINT('',#38936); #12014=VERTEX_POINT('',#38938); #12015=VERTEX_POINT('',#38942); #12016=VERTEX_POINT('',#38944); #12017=VERTEX_POINT('',#38948); #12018=VERTEX_POINT('',#38950); #12019=VERTEX_POINT('',#38954); #12020=VERTEX_POINT('',#38956); #12021=VERTEX_POINT('',#38960); #12022=VERTEX_POINT('',#38962); #12023=VERTEX_POINT('',#38966); #12024=VERTEX_POINT('',#38968); #12025=VERTEX_POINT('',#38972); #12026=VERTEX_POINT('',#38974); #12027=VERTEX_POINT('',#38978); #12028=VERTEX_POINT('',#38980); #12029=VERTEX_POINT('',#38984); #12030=VERTEX_POINT('',#38986); #12031=VERTEX_POINT('',#38990); #12032=VERTEX_POINT('',#38992); #12033=VERTEX_POINT('',#38996); #12034=VERTEX_POINT('',#38998); #12035=VERTEX_POINT('',#39002); #12036=VERTEX_POINT('',#39004); #12037=VERTEX_POINT('',#39008); #12038=VERTEX_POINT('',#39010); #12039=VERTEX_POINT('',#39014); #12040=VERTEX_POINT('',#39016); #12041=VERTEX_POINT('',#39020); #12042=VERTEX_POINT('',#39022); #12043=VERTEX_POINT('',#39026); #12044=VERTEX_POINT('',#39028); #12045=VERTEX_POINT('',#39032); #12046=VERTEX_POINT('',#39034); #12047=VERTEX_POINT('',#39038); #12048=VERTEX_POINT('',#39040); #12049=VERTEX_POINT('',#39044); #12050=VERTEX_POINT('',#39046); #12051=VERTEX_POINT('',#39050); #12052=VERTEX_POINT('',#39052); #12053=VERTEX_POINT('',#39056); #12054=VERTEX_POINT('',#39058); #12055=VERTEX_POINT('',#39062); #12056=VERTEX_POINT('',#39064); #12057=VERTEX_POINT('',#39068); #12058=VERTEX_POINT('',#39070); #12059=VERTEX_POINT('',#39074); #12060=VERTEX_POINT('',#39076); #12061=VERTEX_POINT('',#39080); #12062=VERTEX_POINT('',#39082); #12063=VERTEX_POINT('',#39086); #12064=VERTEX_POINT('',#39088); #12065=VERTEX_POINT('',#39092); #12066=VERTEX_POINT('',#39094); #12067=VERTEX_POINT('',#39098); #12068=VERTEX_POINT('',#39100); #12069=VERTEX_POINT('',#39104); #12070=VERTEX_POINT('',#39106); #12071=VERTEX_POINT('',#39110); #12072=VERTEX_POINT('',#39112); #12073=VERTEX_POINT('',#39116); #12074=VERTEX_POINT('',#39118); #12075=VERTEX_POINT('',#39122); #12076=VERTEX_POINT('',#39124); #12077=VERTEX_POINT('',#39128); #12078=VERTEX_POINT('',#39130); #12079=VERTEX_POINT('',#39134); #12080=VERTEX_POINT('',#39136); #12081=VERTEX_POINT('',#39140); #12082=VERTEX_POINT('',#39142); #12083=VERTEX_POINT('',#39146); #12084=VERTEX_POINT('',#39148); #12085=VERTEX_POINT('',#39152); #12086=VERTEX_POINT('',#39154); #12087=VERTEX_POINT('',#39158); #12088=VERTEX_POINT('',#39160); #12089=VERTEX_POINT('',#39164); #12090=VERTEX_POINT('',#39166); #12091=VERTEX_POINT('',#39170); #12092=VERTEX_POINT('',#39172); #12093=VERTEX_POINT('',#39176); #12094=VERTEX_POINT('',#39178); #12095=VERTEX_POINT('',#39182); #12096=VERTEX_POINT('',#39184); #12097=VERTEX_POINT('',#39188); #12098=VERTEX_POINT('',#39190); #12099=VERTEX_POINT('',#39194); #12100=VERTEX_POINT('',#39196); #12101=VERTEX_POINT('',#39200); #12102=VERTEX_POINT('',#39202); #12103=VERTEX_POINT('',#39206); #12104=VERTEX_POINT('',#39208); #12105=VERTEX_POINT('',#39216); #12106=VERTEX_POINT('',#39217); #12107=VERTEX_POINT('',#39219); #12108=VERTEX_POINT('',#39221); #12109=VERTEX_POINT('',#39225); #12110=VERTEX_POINT('',#39227); #12111=VERTEX_POINT('',#39231); #12112=VERTEX_POINT('',#39233); #12113=VERTEX_POINT('',#39237); #12114=VERTEX_POINT('',#39239); #12115=VERTEX_POINT('',#39243); #12116=VERTEX_POINT('',#39245); #12117=VERTEX_POINT('',#39249); #12118=VERTEX_POINT('',#39251); #12119=VERTEX_POINT('',#39255); #12120=VERTEX_POINT('',#39257); #12121=VERTEX_POINT('',#39261); #12122=VERTEX_POINT('',#39263); #12123=VERTEX_POINT('',#39267); #12124=VERTEX_POINT('',#39269); #12125=VERTEX_POINT('',#39273); #12126=VERTEX_POINT('',#39275); #12127=VERTEX_POINT('',#39279); #12128=VERTEX_POINT('',#39281); #12129=VERTEX_POINT('',#39285); #12130=VERTEX_POINT('',#39287); #12131=VERTEX_POINT('',#39291); #12132=VERTEX_POINT('',#39293); #12133=VERTEX_POINT('',#39297); #12134=VERTEX_POINT('',#39299); #12135=VERTEX_POINT('',#39303); #12136=VERTEX_POINT('',#39305); #12137=VERTEX_POINT('',#39309); #12138=VERTEX_POINT('',#39311); #12139=VERTEX_POINT('',#39315); #12140=VERTEX_POINT('',#39317); #12141=VERTEX_POINT('',#39321); #12142=VERTEX_POINT('',#39323); #12143=VERTEX_POINT('',#39327); #12144=VERTEX_POINT('',#39329); #12145=VERTEX_POINT('',#39333); #12146=VERTEX_POINT('',#39335); #12147=VERTEX_POINT('',#39339); #12148=VERTEX_POINT('',#39341); #12149=VERTEX_POINT('',#39345); #12150=VERTEX_POINT('',#39347); #12151=VERTEX_POINT('',#39351); #12152=VERTEX_POINT('',#39353); #12153=VERTEX_POINT('',#39357); #12154=VERTEX_POINT('',#39359); #12155=VERTEX_POINT('',#39363); #12156=VERTEX_POINT('',#39365); #12157=VERTEX_POINT('',#39369); #12158=VERTEX_POINT('',#39371); #12159=VERTEX_POINT('',#39375); #12160=VERTEX_POINT('',#39377); #12161=VERTEX_POINT('',#39381); #12162=VERTEX_POINT('',#39383); #12163=VERTEX_POINT('',#39387); #12164=VERTEX_POINT('',#39389); #12165=VERTEX_POINT('',#39393); #12166=VERTEX_POINT('',#39395); #12167=VERTEX_POINT('',#39399); #12168=VERTEX_POINT('',#39401); #12169=VERTEX_POINT('',#39405); #12170=VERTEX_POINT('',#39407); #12171=VERTEX_POINT('',#39411); #12172=VERTEX_POINT('',#39413); #12173=VERTEX_POINT('',#39417); #12174=VERTEX_POINT('',#39419); #12175=VERTEX_POINT('',#39423); #12176=VERTEX_POINT('',#39425); #12177=VERTEX_POINT('',#39429); #12178=VERTEX_POINT('',#39431); #12179=VERTEX_POINT('',#39435); #12180=VERTEX_POINT('',#39437); #12181=VERTEX_POINT('',#39441); #12182=VERTEX_POINT('',#39443); #12183=VERTEX_POINT('',#39447); #12184=VERTEX_POINT('',#39449); #12185=VERTEX_POINT('',#39453); #12186=VERTEX_POINT('',#39455); #12187=VERTEX_POINT('',#39459); #12188=VERTEX_POINT('',#39461); #12189=VERTEX_POINT('',#39465); #12190=VERTEX_POINT('',#39467); #12191=VERTEX_POINT('',#39471); #12192=VERTEX_POINT('',#39473); #12193=VERTEX_POINT('',#39481); #12194=VERTEX_POINT('',#39482); #12195=VERTEX_POINT('',#39484); #12196=VERTEX_POINT('',#39486); #12197=VERTEX_POINT('',#39490); #12198=VERTEX_POINT('',#39492); #12199=VERTEX_POINT('',#39496); #12200=VERTEX_POINT('',#39498); #12201=VERTEX_POINT('',#39502); #12202=VERTEX_POINT('',#39504); #12203=VERTEX_POINT('',#39508); #12204=VERTEX_POINT('',#39510); #12205=VERTEX_POINT('',#39514); #12206=VERTEX_POINT('',#39516); #12207=VERTEX_POINT('',#39520); #12208=VERTEX_POINT('',#39522); #12209=VERTEX_POINT('',#39526); #12210=VERTEX_POINT('',#39528); #12211=VERTEX_POINT('',#39532); #12212=VERTEX_POINT('',#39534); #12213=VERTEX_POINT('',#39538); #12214=VERTEX_POINT('',#39540); #12215=VERTEX_POINT('',#39544); #12216=VERTEX_POINT('',#39546); #12217=VERTEX_POINT('',#39550); #12218=VERTEX_POINT('',#39552); #12219=VERTEX_POINT('',#39556); #12220=VERTEX_POINT('',#39558); #12221=VERTEX_POINT('',#39562); #12222=VERTEX_POINT('',#39564); #12223=VERTEX_POINT('',#39568); #12224=VERTEX_POINT('',#39570); #12225=VERTEX_POINT('',#39574); #12226=VERTEX_POINT('',#39576); #12227=VERTEX_POINT('',#39580); #12228=VERTEX_POINT('',#39582); #12229=VERTEX_POINT('',#39586); #12230=VERTEX_POINT('',#39588); #12231=VERTEX_POINT('',#39592); #12232=VERTEX_POINT('',#39594); #12233=VERTEX_POINT('',#39598); #12234=VERTEX_POINT('',#39600); #12235=VERTEX_POINT('',#39604); #12236=VERTEX_POINT('',#39606); #12237=VERTEX_POINT('',#39610); #12238=VERTEX_POINT('',#39612); #12239=VERTEX_POINT('',#39616); #12240=VERTEX_POINT('',#39618); #12241=VERTEX_POINT('',#39622); #12242=VERTEX_POINT('',#39624); #12243=VERTEX_POINT('',#39628); #12244=VERTEX_POINT('',#39630); #12245=VERTEX_POINT('',#39634); #12246=VERTEX_POINT('',#39636); #12247=VERTEX_POINT('',#39640); #12248=VERTEX_POINT('',#39642); #12249=VERTEX_POINT('',#39646); #12250=VERTEX_POINT('',#39648); #12251=VERTEX_POINT('',#39652); #12252=VERTEX_POINT('',#39654); #12253=VERTEX_POINT('',#39658); #12254=VERTEX_POINT('',#39660); #12255=VERTEX_POINT('',#39664); #12256=VERTEX_POINT('',#39666); #12257=VERTEX_POINT('',#39670); #12258=VERTEX_POINT('',#39672); #12259=VERTEX_POINT('',#39676); #12260=VERTEX_POINT('',#39678); #12261=VERTEX_POINT('',#39682); #12262=VERTEX_POINT('',#39684); #12263=VERTEX_POINT('',#39688); #12264=VERTEX_POINT('',#39690); #12265=VERTEX_POINT('',#39694); #12266=VERTEX_POINT('',#39696); #12267=VERTEX_POINT('',#39700); #12268=VERTEX_POINT('',#39702); #12269=VERTEX_POINT('',#39706); #12270=VERTEX_POINT('',#39708); #12271=VERTEX_POINT('',#39712); #12272=VERTEX_POINT('',#39714); #12273=VERTEX_POINT('',#39718); #12274=VERTEX_POINT('',#39720); #12275=VERTEX_POINT('',#39724); #12276=VERTEX_POINT('',#39726); #12277=VERTEX_POINT('',#39730); #12278=VERTEX_POINT('',#39732); #12279=VERTEX_POINT('',#39736); #12280=VERTEX_POINT('',#39738); #12281=VERTEX_POINT('',#39742); #12282=VERTEX_POINT('',#39744); #12283=VERTEX_POINT('',#39748); #12284=VERTEX_POINT('',#39750); #12285=VERTEX_POINT('',#39754); #12286=VERTEX_POINT('',#39756); #12287=VERTEX_POINT('',#39760); #12288=VERTEX_POINT('',#39762); #12289=VERTEX_POINT('',#39766); #12290=VERTEX_POINT('',#39768); #12291=VERTEX_POINT('',#39772); #12292=VERTEX_POINT('',#39774); #12293=VERTEX_POINT('',#39778); #12294=VERTEX_POINT('',#39780); #12295=VERTEX_POINT('',#39784); #12296=VERTEX_POINT('',#39786); #12297=VERTEX_POINT('',#39790); #12298=VERTEX_POINT('',#39792); #12299=VERTEX_POINT('',#39796); #12300=VERTEX_POINT('',#39798); #12301=VERTEX_POINT('',#39806); #12302=VERTEX_POINT('',#39807); #12303=VERTEX_POINT('',#39809); #12304=VERTEX_POINT('',#39811); #12305=VERTEX_POINT('',#39815); #12306=VERTEX_POINT('',#39817); #12307=VERTEX_POINT('',#39821); #12308=VERTEX_POINT('',#39823); #12309=VERTEX_POINT('',#39827); #12310=VERTEX_POINT('',#39829); #12311=VERTEX_POINT('',#39833); #12312=VERTEX_POINT('',#39835); #12313=VERTEX_POINT('',#39839); #12314=VERTEX_POINT('',#39841); #12315=VERTEX_POINT('',#39845); #12316=VERTEX_POINT('',#39847); #12317=VERTEX_POINT('',#39851); #12318=VERTEX_POINT('',#39853); #12319=VERTEX_POINT('',#39857); #12320=VERTEX_POINT('',#39859); #12321=VERTEX_POINT('',#39863); #12322=VERTEX_POINT('',#39865); #12323=VERTEX_POINT('',#39869); #12324=VERTEX_POINT('',#39871); #12325=VERTEX_POINT('',#39875); #12326=VERTEX_POINT('',#39877); #12327=VERTEX_POINT('',#39881); #12328=VERTEX_POINT('',#39883); #12329=VERTEX_POINT('',#39887); #12330=VERTEX_POINT('',#39889); #12331=VERTEX_POINT('',#39893); #12332=VERTEX_POINT('',#39895); #12333=VERTEX_POINT('',#39899); #12334=VERTEX_POINT('',#39901); #12335=VERTEX_POINT('',#39905); #12336=VERTEX_POINT('',#39907); #12337=VERTEX_POINT('',#39911); #12338=VERTEX_POINT('',#39913); #12339=VERTEX_POINT('',#39917); #12340=VERTEX_POINT('',#39919); #12341=VERTEX_POINT('',#39923); #12342=VERTEX_POINT('',#39925); #12343=VERTEX_POINT('',#39929); #12344=VERTEX_POINT('',#39931); #12345=VERTEX_POINT('',#39935); #12346=VERTEX_POINT('',#39937); #12347=VERTEX_POINT('',#39941); #12348=VERTEX_POINT('',#39943); #12349=VERTEX_POINT('',#39947); #12350=VERTEX_POINT('',#39949); #12351=VERTEX_POINT('',#39953); #12352=VERTEX_POINT('',#39955); #12353=VERTEX_POINT('',#39959); #12354=VERTEX_POINT('',#39961); #12355=VERTEX_POINT('',#39965); #12356=VERTEX_POINT('',#39967); #12357=VERTEX_POINT('',#39971); #12358=VERTEX_POINT('',#39973); #12359=VERTEX_POINT('',#39977); #12360=VERTEX_POINT('',#39979); #12361=VERTEX_POINT('',#39983); #12362=VERTEX_POINT('',#39985); #12363=VERTEX_POINT('',#39989); #12364=VERTEX_POINT('',#39991); #12365=VERTEX_POINT('',#39995); #12366=VERTEX_POINT('',#39997); #12367=VERTEX_POINT('',#40001); #12368=VERTEX_POINT('',#40003); #12369=VERTEX_POINT('',#40007); #12370=VERTEX_POINT('',#40009); #12371=VERTEX_POINT('',#40013); #12372=VERTEX_POINT('',#40015); #12373=VERTEX_POINT('',#40019); #12374=VERTEX_POINT('',#40021); #12375=VERTEX_POINT('',#40025); #12376=VERTEX_POINT('',#40027); #12377=VERTEX_POINT('',#40031); #12378=VERTEX_POINT('',#40033); #12379=VERTEX_POINT('',#40037); #12380=VERTEX_POINT('',#40039); #12381=VERTEX_POINT('',#40043); #12382=VERTEX_POINT('',#40045); #12383=VERTEX_POINT('',#40049); #12384=VERTEX_POINT('',#40051); #12385=VERTEX_POINT('',#40059); #12386=VERTEX_POINT('',#40060); #12387=VERTEX_POINT('',#40062); #12388=VERTEX_POINT('',#40064); #12389=VERTEX_POINT('',#40068); #12390=VERTEX_POINT('',#40070); #12391=VERTEX_POINT('',#40074); #12392=VERTEX_POINT('',#40076); #12393=VERTEX_POINT('',#40080); #12394=VERTEX_POINT('',#40082); #12395=VERTEX_POINT('',#40086); #12396=VERTEX_POINT('',#40088); #12397=VERTEX_POINT('',#40092); #12398=VERTEX_POINT('',#40094); #12399=VERTEX_POINT('',#40098); #12400=VERTEX_POINT('',#40100); #12401=VERTEX_POINT('',#40104); #12402=VERTEX_POINT('',#40106); #12403=VERTEX_POINT('',#40113); #12404=VERTEX_POINT('',#40114); #12405=VERTEX_POINT('',#40116); #12406=VERTEX_POINT('',#40118); #12407=VERTEX_POINT('',#40122); #12408=VERTEX_POINT('',#40124); #12409=VERTEX_POINT('',#40128); #12410=VERTEX_POINT('',#40130); #12411=VERTEX_POINT('',#40134); #12412=VERTEX_POINT('',#40136); #12413=VERTEX_POINT('',#40140); #12414=VERTEX_POINT('',#40142); #12415=VERTEX_POINT('',#40146); #12416=VERTEX_POINT('',#40148); #12417=VERTEX_POINT('',#40152); #12418=VERTEX_POINT('',#40154); #12419=VERTEX_POINT('',#40158); #12420=VERTEX_POINT('',#40160); #12421=VERTEX_POINT('',#40164); #12422=VERTEX_POINT('',#40166); #12423=VERTEX_POINT('',#40170); #12424=VERTEX_POINT('',#40172); #12425=VERTEX_POINT('',#40180); #12426=VERTEX_POINT('',#40181); #12427=VERTEX_POINT('',#40183); #12428=VERTEX_POINT('',#40185); #12429=VERTEX_POINT('',#40189); #12430=VERTEX_POINT('',#40191); #12431=VERTEX_POINT('',#40195); #12432=VERTEX_POINT('',#40197); #12433=VERTEX_POINT('',#40201); #12434=VERTEX_POINT('',#40203); #12435=VERTEX_POINT('',#40207); #12436=VERTEX_POINT('',#40209); #12437=VERTEX_POINT('',#40213); #12438=VERTEX_POINT('',#40215); #12439=VERTEX_POINT('',#40219); #12440=VERTEX_POINT('',#40221); #12441=VERTEX_POINT('',#40225); #12442=VERTEX_POINT('',#40227); #12443=VERTEX_POINT('',#40231); #12444=VERTEX_POINT('',#40233); #12445=VERTEX_POINT('',#40237); #12446=VERTEX_POINT('',#40239); #12447=VERTEX_POINT('',#40243); #12448=VERTEX_POINT('',#40245); #12449=VERTEX_POINT('',#40249); #12450=VERTEX_POINT('',#40251); #12451=VERTEX_POINT('',#40255); #12452=VERTEX_POINT('',#40257); #12453=VERTEX_POINT('',#40261); #12454=VERTEX_POINT('',#40263); #12455=VERTEX_POINT('',#40267); #12456=VERTEX_POINT('',#40269); #12457=VERTEX_POINT('',#40273); #12458=VERTEX_POINT('',#40275); #12459=VERTEX_POINT('',#40279); #12460=VERTEX_POINT('',#40281); #12461=VERTEX_POINT('',#40285); #12462=VERTEX_POINT('',#40287); #12463=VERTEX_POINT('',#40291); #12464=VERTEX_POINT('',#40293); #12465=VERTEX_POINT('',#40297); #12466=VERTEX_POINT('',#40299); #12467=VERTEX_POINT('',#40303); #12468=VERTEX_POINT('',#40305); #12469=VERTEX_POINT('',#40309); #12470=VERTEX_POINT('',#40311); #12471=VERTEX_POINT('',#40315); #12472=VERTEX_POINT('',#40317); #12473=VERTEX_POINT('',#40321); #12474=VERTEX_POINT('',#40323); #12475=VERTEX_POINT('',#40327); #12476=VERTEX_POINT('',#40329); #12477=VERTEX_POINT('',#40333); #12478=VERTEX_POINT('',#40335); #12479=VERTEX_POINT('',#40339); #12480=VERTEX_POINT('',#40341); #12481=VERTEX_POINT('',#40345); #12482=VERTEX_POINT('',#40347); #12483=VERTEX_POINT('',#40351); #12484=VERTEX_POINT('',#40353); #12485=VERTEX_POINT('',#40357); #12486=VERTEX_POINT('',#40359); #12487=VERTEX_POINT('',#40363); #12488=VERTEX_POINT('',#40365); #12489=VERTEX_POINT('',#40369); #12490=VERTEX_POINT('',#40371); #12491=VERTEX_POINT('',#40375); #12492=VERTEX_POINT('',#40377); #12493=VERTEX_POINT('',#40381); #12494=VERTEX_POINT('',#40383); #12495=VERTEX_POINT('',#40387); #12496=VERTEX_POINT('',#40389); #12497=VERTEX_POINT('',#40393); #12498=VERTEX_POINT('',#40395); #12499=VERTEX_POINT('',#40399); #12500=VERTEX_POINT('',#40401); #12501=VERTEX_POINT('',#40405); #12502=VERTEX_POINT('',#40407); #12503=VERTEX_POINT('',#40411); #12504=VERTEX_POINT('',#40413); #12505=VERTEX_POINT('',#40417); #12506=VERTEX_POINT('',#40419); #12507=VERTEX_POINT('',#40423); #12508=VERTEX_POINT('',#40425); #12509=VERTEX_POINT('',#40429); #12510=VERTEX_POINT('',#40431); #12511=VERTEX_POINT('',#40435); #12512=VERTEX_POINT('',#40437); #12513=VERTEX_POINT('',#40441); #12514=VERTEX_POINT('',#40443); #12515=VERTEX_POINT('',#40447); #12516=VERTEX_POINT('',#40449); #12517=VERTEX_POINT('',#40457); #12518=VERTEX_POINT('',#40458); #12519=VERTEX_POINT('',#40460); #12520=VERTEX_POINT('',#40462); #12521=VERTEX_POINT('',#40466); #12522=VERTEX_POINT('',#40468); #12523=VERTEX_POINT('',#40472); #12524=VERTEX_POINT('',#40474); #12525=VERTEX_POINT('',#40478); #12526=VERTEX_POINT('',#40480); #12527=VERTEX_POINT('',#40484); #12528=VERTEX_POINT('',#40486); #12529=VERTEX_POINT('',#40490); #12530=VERTEX_POINT('',#40492); #12531=VERTEX_POINT('',#40496); #12532=VERTEX_POINT('',#40498); #12533=VERTEX_POINT('',#40502); #12534=VERTEX_POINT('',#40504); #12535=VERTEX_POINT('',#40508); #12536=VERTEX_POINT('',#40510); #12537=VERTEX_POINT('',#40514); #12538=VERTEX_POINT('',#40516); #12539=VERTEX_POINT('',#40520); #12540=VERTEX_POINT('',#40522); #12541=VERTEX_POINT('',#40526); #12542=VERTEX_POINT('',#40528); #12543=VERTEX_POINT('',#40532); #12544=VERTEX_POINT('',#40534); #12545=VERTEX_POINT('',#40538); #12546=VERTEX_POINT('',#40540); #12547=VERTEX_POINT('',#40544); #12548=VERTEX_POINT('',#40546); #12549=VERTEX_POINT('',#40550); #12550=VERTEX_POINT('',#40552); #12551=VERTEX_POINT('',#40556); #12552=VERTEX_POINT('',#40558); #12553=VERTEX_POINT('',#40562); #12554=VERTEX_POINT('',#40564); #12555=VERTEX_POINT('',#40568); #12556=VERTEX_POINT('',#40570); #12557=VERTEX_POINT('',#40574); #12558=VERTEX_POINT('',#40576); #12559=VERTEX_POINT('',#40580); #12560=VERTEX_POINT('',#40582); #12561=VERTEX_POINT('',#40586); #12562=VERTEX_POINT('',#40588); #12563=VERTEX_POINT('',#40592); #12564=VERTEX_POINT('',#40594); #12565=VERTEX_POINT('',#40602); #12566=VERTEX_POINT('',#40603); #12567=VERTEX_POINT('',#40605); #12568=VERTEX_POINT('',#40607); #12569=VERTEX_POINT('',#40611); #12570=VERTEX_POINT('',#40613); #12571=VERTEX_POINT('',#40617); #12572=VERTEX_POINT('',#40619); #12573=VERTEX_POINT('',#40623); #12574=VERTEX_POINT('',#40625); #12575=VERTEX_POINT('',#40629); #12576=VERTEX_POINT('',#40631); #12577=VERTEX_POINT('',#40635); #12578=VERTEX_POINT('',#40637); #12579=VERTEX_POINT('',#40641); #12580=VERTEX_POINT('',#40643); #12581=VERTEX_POINT('',#40647); #12582=VERTEX_POINT('',#40649); #12583=VERTEX_POINT('',#40656); #12584=VERTEX_POINT('',#40657); #12585=VERTEX_POINT('',#40659); #12586=VERTEX_POINT('',#40661); #12587=VERTEX_POINT('',#40665); #12588=VERTEX_POINT('',#40667); #12589=VERTEX_POINT('',#40671); #12590=VERTEX_POINT('',#40673); #12591=VERTEX_POINT('',#40677); #12592=VERTEX_POINT('',#40679); #12593=VERTEX_POINT('',#40683); #12594=VERTEX_POINT('',#40685); #12595=VERTEX_POINT('',#40689); #12596=VERTEX_POINT('',#40691); #12597=VERTEX_POINT('',#40695); #12598=VERTEX_POINT('',#40697); #12599=VERTEX_POINT('',#40701); #12600=VERTEX_POINT('',#40703); #12601=VERTEX_POINT('',#40707); #12602=VERTEX_POINT('',#40709); #12603=VERTEX_POINT('',#40713); #12604=VERTEX_POINT('',#40715); #12605=VERTEX_POINT('',#40719); #12606=VERTEX_POINT('',#40721); #12607=VERTEX_POINT('',#40725); #12608=VERTEX_POINT('',#40727); #12609=VERTEX_POINT('',#40731); #12610=VERTEX_POINT('',#40733); #12611=VERTEX_POINT('',#40737); #12612=VERTEX_POINT('',#40739); #12613=VERTEX_POINT('',#40743); #12614=VERTEX_POINT('',#40745); #12615=VERTEX_POINT('',#40749); #12616=VERTEX_POINT('',#40751); #12617=VERTEX_POINT('',#40755); #12618=VERTEX_POINT('',#40757); #12619=VERTEX_POINT('',#40761); #12620=VERTEX_POINT('',#40763); #12621=VERTEX_POINT('',#40767); #12622=VERTEX_POINT('',#40769); #12623=VERTEX_POINT('',#40773); #12624=VERTEX_POINT('',#40775); #12625=VERTEX_POINT('',#40779); #12626=VERTEX_POINT('',#40781); #12627=VERTEX_POINT('',#40785); #12628=VERTEX_POINT('',#40787); #12629=VERTEX_POINT('',#40791); #12630=VERTEX_POINT('',#40793); #12631=VERTEX_POINT('',#40797); #12632=VERTEX_POINT('',#40799); #12633=VERTEX_POINT('',#40803); #12634=VERTEX_POINT('',#40805); #12635=VERTEX_POINT('',#40809); #12636=VERTEX_POINT('',#40811); #12637=VERTEX_POINT('',#40815); #12638=VERTEX_POINT('',#40817); #12639=VERTEX_POINT('',#40821); #12640=VERTEX_POINT('',#40823); #12641=VERTEX_POINT('',#40827); #12642=VERTEX_POINT('',#40829); #12643=VERTEX_POINT('',#40833); #12644=VERTEX_POINT('',#40835); #12645=VERTEX_POINT('',#40843); #12646=VERTEX_POINT('',#40844); #12647=VERTEX_POINT('',#40846); #12648=VERTEX_POINT('',#40848); #12649=VERTEX_POINT('',#40852); #12650=VERTEX_POINT('',#40854); #12651=VERTEX_POINT('',#40858); #12652=VERTEX_POINT('',#40860); #12653=VERTEX_POINT('',#40864); #12654=VERTEX_POINT('',#40866); #12655=VERTEX_POINT('',#40870); #12656=VERTEX_POINT('',#40872); #12657=VERTEX_POINT('',#40876); #12658=VERTEX_POINT('',#40878); #12659=VERTEX_POINT('',#40882); #12660=VERTEX_POINT('',#40884); #12661=VERTEX_POINT('',#40888); #12662=VERTEX_POINT('',#40890); #12663=VERTEX_POINT('',#40894); #12664=VERTEX_POINT('',#40896); #12665=VERTEX_POINT('',#40900); #12666=VERTEX_POINT('',#40902); #12667=VERTEX_POINT('',#40906); #12668=VERTEX_POINT('',#40908); #12669=VERTEX_POINT('',#40912); #12670=VERTEX_POINT('',#40914); #12671=VERTEX_POINT('',#40918); #12672=VERTEX_POINT('',#40920); #12673=VERTEX_POINT('',#40924); #12674=VERTEX_POINT('',#40926); #12675=VERTEX_POINT('',#40930); #12676=VERTEX_POINT('',#40932); #12677=VERTEX_POINT('',#40936); #12678=VERTEX_POINT('',#40938); #12679=VERTEX_POINT('',#40942); #12680=VERTEX_POINT('',#40944); #12681=VERTEX_POINT('',#40948); #12682=VERTEX_POINT('',#40950); #12683=VERTEX_POINT('',#40954); #12684=VERTEX_POINT('',#40956); #12685=VERTEX_POINT('',#40960); #12686=VERTEX_POINT('',#40962); #12687=VERTEX_POINT('',#40966); #12688=VERTEX_POINT('',#40968); #12689=VERTEX_POINT('',#40972); #12690=VERTEX_POINT('',#40974); #12691=VERTEX_POINT('',#40978); #12692=VERTEX_POINT('',#40980); #12693=VERTEX_POINT('',#40984); #12694=VERTEX_POINT('',#40986); #12695=VERTEX_POINT('',#40990); #12696=VERTEX_POINT('',#40992); #12697=VERTEX_POINT('',#40996); #12698=VERTEX_POINT('',#40998); #12699=VERTEX_POINT('',#41002); #12700=VERTEX_POINT('',#41004); #12701=VERTEX_POINT('',#41008); #12702=VERTEX_POINT('',#41010); #12703=VERTEX_POINT('',#41014); #12704=VERTEX_POINT('',#41016); #12705=VERTEX_POINT('',#41020); #12706=VERTEX_POINT('',#41022); #12707=VERTEX_POINT('',#41026); #12708=VERTEX_POINT('',#41028); #12709=VERTEX_POINT('',#41032); #12710=VERTEX_POINT('',#41034); #12711=VERTEX_POINT('',#41038); #12712=VERTEX_POINT('',#41040); #12713=VERTEX_POINT('',#41044); #12714=VERTEX_POINT('',#41046); #12715=VERTEX_POINT('',#41050); #12716=VERTEX_POINT('',#41052); #12717=VERTEX_POINT('',#41056); #12718=VERTEX_POINT('',#41058); #12719=VERTEX_POINT('',#41066); #12720=VERTEX_POINT('',#41067); #12721=VERTEX_POINT('',#41069); #12722=VERTEX_POINT('',#41071); #12723=VERTEX_POINT('',#41075); #12724=VERTEX_POINT('',#41077); #12725=VERTEX_POINT('',#41081); #12726=VERTEX_POINT('',#41083); #12727=VERTEX_POINT('',#41087); #12728=VERTEX_POINT('',#41089); #12729=VERTEX_POINT('',#41093); #12730=VERTEX_POINT('',#41095); #12731=VERTEX_POINT('',#41099); #12732=VERTEX_POINT('',#41101); #12733=VERTEX_POINT('',#41105); #12734=VERTEX_POINT('',#41107); #12735=VERTEX_POINT('',#41111); #12736=VERTEX_POINT('',#41113); #12737=VERTEX_POINT('',#41117); #12738=VERTEX_POINT('',#41119); #12739=VERTEX_POINT('',#41123); #12740=VERTEX_POINT('',#41125); #12741=VERTEX_POINT('',#41129); #12742=VERTEX_POINT('',#41131); #12743=VERTEX_POINT('',#41135); #12744=VERTEX_POINT('',#41137); #12745=VERTEX_POINT('',#41141); #12746=VERTEX_POINT('',#41143); #12747=VERTEX_POINT('',#41147); #12748=VERTEX_POINT('',#41149); #12749=VERTEX_POINT('',#41153); #12750=VERTEX_POINT('',#41155); #12751=VERTEX_POINT('',#41159); #12752=VERTEX_POINT('',#41161); #12753=VERTEX_POINT('',#41165); #12754=VERTEX_POINT('',#41167); #12755=VERTEX_POINT('',#41171); #12756=VERTEX_POINT('',#41173); #12757=VERTEX_POINT('',#41177); #12758=VERTEX_POINT('',#41179); #12759=VERTEX_POINT('',#41183); #12760=VERTEX_POINT('',#41185); #12761=VERTEX_POINT('',#41189); #12762=VERTEX_POINT('',#41191); #12763=VERTEX_POINT('',#41195); #12764=VERTEX_POINT('',#41197); #12765=VERTEX_POINT('',#41201); #12766=VERTEX_POINT('',#41203); #12767=VERTEX_POINT('',#41207); #12768=VERTEX_POINT('',#41209); #12769=VERTEX_POINT('',#41213); #12770=VERTEX_POINT('',#41215); #12771=VERTEX_POINT('',#41219); #12772=VERTEX_POINT('',#41221); #12773=VERTEX_POINT('',#41225); #12774=VERTEX_POINT('',#41227); #12775=VERTEX_POINT('',#41231); #12776=VERTEX_POINT('',#41233); #12777=VERTEX_POINT('',#41237); #12778=VERTEX_POINT('',#41239); #12779=VERTEX_POINT('',#41243); #12780=VERTEX_POINT('',#41245); #12781=VERTEX_POINT('',#41249); #12782=VERTEX_POINT('',#41251); #12783=VERTEX_POINT('',#41255); #12784=VERTEX_POINT('',#41257); #12785=VERTEX_POINT('',#41261); #12786=VERTEX_POINT('',#41263); #12787=VERTEX_POINT('',#41267); #12788=VERTEX_POINT('',#41269); #12789=VERTEX_POINT('',#41273); #12790=VERTEX_POINT('',#41275); #12791=VERTEX_POINT('',#41279); #12792=VERTEX_POINT('',#41281); #12793=VERTEX_POINT('',#41285); #12794=VERTEX_POINT('',#41287); #12795=VERTEX_POINT('',#41291); #12796=VERTEX_POINT('',#41293); #12797=VERTEX_POINT('',#41297); #12798=VERTEX_POINT('',#41299); #12799=VERTEX_POINT('',#41303); #12800=VERTEX_POINT('',#41305); #12801=VERTEX_POINT('',#41309); #12802=VERTEX_POINT('',#41311); #12803=VERTEX_POINT('',#41315); #12804=VERTEX_POINT('',#41317); #12805=VERTEX_POINT('',#41321); #12806=VERTEX_POINT('',#41323); #12807=VERTEX_POINT('',#41331); #12808=VERTEX_POINT('',#41332); #12809=VERTEX_POINT('',#41334); #12810=VERTEX_POINT('',#41336); #12811=VERTEX_POINT('',#41340); #12812=VERTEX_POINT('',#41342); #12813=VERTEX_POINT('',#41346); #12814=VERTEX_POINT('',#41348); #12815=VERTEX_POINT('',#41352); #12816=VERTEX_POINT('',#41354); #12817=VERTEX_POINT('',#41358); #12818=VERTEX_POINT('',#41360); #12819=VERTEX_POINT('',#41364); #12820=VERTEX_POINT('',#41366); #12821=VERTEX_POINT('',#41370); #12822=VERTEX_POINT('',#41372); #12823=VERTEX_POINT('',#41376); #12824=VERTEX_POINT('',#41378); #12825=VERTEX_POINT('',#41382); #12826=VERTEX_POINT('',#41384); #12827=VERTEX_POINT('',#41388); #12828=VERTEX_POINT('',#41390); #12829=VERTEX_POINT('',#41394); #12830=VERTEX_POINT('',#41396); #12831=VERTEX_POINT('',#41400); #12832=VERTEX_POINT('',#41402); #12833=VERTEX_POINT('',#41406); #12834=VERTEX_POINT('',#41408); #12835=VERTEX_POINT('',#41412); #12836=VERTEX_POINT('',#41414); #12837=VERTEX_POINT('',#41418); #12838=VERTEX_POINT('',#41420); #12839=VERTEX_POINT('',#41424); #12840=VERTEX_POINT('',#41426); #12841=VERTEX_POINT('',#41430); #12842=VERTEX_POINT('',#41432); #12843=VERTEX_POINT('',#41436); #12844=VERTEX_POINT('',#41438); #12845=VERTEX_POINT('',#41442); #12846=VERTEX_POINT('',#41444); #12847=VERTEX_POINT('',#41448); #12848=VERTEX_POINT('',#41450); #12849=VERTEX_POINT('',#41454); #12850=VERTEX_POINT('',#41456); #12851=VERTEX_POINT('',#41460); #12852=VERTEX_POINT('',#41462); #12853=VERTEX_POINT('',#41466); #12854=VERTEX_POINT('',#41468); #12855=VERTEX_POINT('',#41472); #12856=VERTEX_POINT('',#41474); #12857=VERTEX_POINT('',#41478); #12858=VERTEX_POINT('',#41480); #12859=VERTEX_POINT('',#41484); #12860=VERTEX_POINT('',#41486); #12861=VERTEX_POINT('',#41490); #12862=VERTEX_POINT('',#41492); #12863=VERTEX_POINT('',#41496); #12864=VERTEX_POINT('',#41498); #12865=VERTEX_POINT('',#41502); #12866=VERTEX_POINT('',#41504); #12867=VERTEX_POINT('',#41508); #12868=VERTEX_POINT('',#41510); #12869=VERTEX_POINT('',#41514); #12870=VERTEX_POINT('',#41516); #12871=VERTEX_POINT('',#41520); #12872=VERTEX_POINT('',#41522); #12873=VERTEX_POINT('',#41526); #12874=VERTEX_POINT('',#41528); #12875=VERTEX_POINT('',#41532); #12876=VERTEX_POINT('',#41534); #12877=VERTEX_POINT('',#41538); #12878=VERTEX_POINT('',#41540); #12879=VERTEX_POINT('',#41544); #12880=VERTEX_POINT('',#41546); #12881=VERTEX_POINT('',#41550); #12882=VERTEX_POINT('',#41552); #12883=VERTEX_POINT('',#41556); #12884=VERTEX_POINT('',#41558); #12885=VERTEX_POINT('',#41562); #12886=VERTEX_POINT('',#41564); #12887=VERTEX_POINT('',#41568); #12888=VERTEX_POINT('',#41570); #12889=VERTEX_POINT('',#41574); #12890=VERTEX_POINT('',#41576); #12891=VERTEX_POINT('',#41580); #12892=VERTEX_POINT('',#41582); #12893=VERTEX_POINT('',#41586); #12894=VERTEX_POINT('',#41588); #12895=VERTEX_POINT('',#41592); #12896=VERTEX_POINT('',#41594); #12897=VERTEX_POINT('',#41598); #12898=VERTEX_POINT('',#41600); #12899=VERTEX_POINT('',#41604); #12900=VERTEX_POINT('',#41606); #12901=VERTEX_POINT('',#41610); #12902=VERTEX_POINT('',#41612); #12903=VERTEX_POINT('',#41616); #12904=VERTEX_POINT('',#41618); #12905=VERTEX_POINT('',#41622); #12906=VERTEX_POINT('',#41624); #12907=VERTEX_POINT('',#41628); #12908=VERTEX_POINT('',#41630); #12909=VERTEX_POINT('',#41634); #12910=VERTEX_POINT('',#41636); #12911=VERTEX_POINT('',#41640); #12912=VERTEX_POINT('',#41642); #12913=VERTEX_POINT('',#41646); #12914=VERTEX_POINT('',#41648); #12915=VERTEX_POINT('',#41656); #12916=VERTEX_POINT('',#41657); #12917=VERTEX_POINT('',#41659); #12918=VERTEX_POINT('',#41661); #12919=VERTEX_POINT('',#41665); #12920=VERTEX_POINT('',#41667); #12921=VERTEX_POINT('',#41671); #12922=VERTEX_POINT('',#41673); #12923=VERTEX_POINT('',#41677); #12924=VERTEX_POINT('',#41679); #12925=VERTEX_POINT('',#41683); #12926=VERTEX_POINT('',#41685); #12927=VERTEX_POINT('',#41689); #12928=VERTEX_POINT('',#41691); #12929=VERTEX_POINT('',#41695); #12930=VERTEX_POINT('',#41697); #12931=VERTEX_POINT('',#41701); #12932=VERTEX_POINT('',#41703); #12933=VERTEX_POINT('',#41707); #12934=VERTEX_POINT('',#41709); #12935=VERTEX_POINT('',#41713); #12936=VERTEX_POINT('',#41715); #12937=VERTEX_POINT('',#41723); #12938=VERTEX_POINT('',#41724); #12939=VERTEX_POINT('',#41726); #12940=VERTEX_POINT('',#41728); #12941=VERTEX_POINT('',#41732); #12942=VERTEX_POINT('',#41734); #12943=VERTEX_POINT('',#41738); #12944=VERTEX_POINT('',#41740); #12945=VERTEX_POINT('',#41744); #12946=VERTEX_POINT('',#41746); #12947=VERTEX_POINT('',#41750); #12948=VERTEX_POINT('',#41752); #12949=VERTEX_POINT('',#41756); #12950=VERTEX_POINT('',#41758); #12951=VERTEX_POINT('',#41762); #12952=VERTEX_POINT('',#41764); #12953=VERTEX_POINT('',#41768); #12954=VERTEX_POINT('',#41770); #12955=VERTEX_POINT('',#41774); #12956=VERTEX_POINT('',#41776); #12957=VERTEX_POINT('',#41783); #12958=VERTEX_POINT('',#41784); #12959=VERTEX_POINT('',#41786); #12960=VERTEX_POINT('',#41788); #12961=VERTEX_POINT('',#41792); #12962=VERTEX_POINT('',#41794); #12963=VERTEX_POINT('',#41798); #12964=VERTEX_POINT('',#41800); #12965=VERTEX_POINT('',#41804); #12966=VERTEX_POINT('',#41806); #12967=VERTEX_POINT('',#41810); #12968=VERTEX_POINT('',#41812); #12969=VERTEX_POINT('',#41816); #12970=VERTEX_POINT('',#41818); #12971=VERTEX_POINT('',#41822); #12972=VERTEX_POINT('',#41824); #12973=VERTEX_POINT('',#41828); #12974=VERTEX_POINT('',#41830); #12975=VERTEX_POINT('',#41834); #12976=VERTEX_POINT('',#41836); #12977=VERTEX_POINT('',#41840); #12978=VERTEX_POINT('',#41842); #12979=VERTEX_POINT('',#41846); #12980=VERTEX_POINT('',#41848); #12981=VERTEX_POINT('',#41852); #12982=VERTEX_POINT('',#41854); #12983=VERTEX_POINT('',#41858); #12984=VERTEX_POINT('',#41860); #12985=VERTEX_POINT('',#41864); #12986=VERTEX_POINT('',#41866); #12987=VERTEX_POINT('',#41870); #12988=VERTEX_POINT('',#41872); #12989=VERTEX_POINT('',#41876); #12990=VERTEX_POINT('',#41878); #12991=VERTEX_POINT('',#41882); #12992=VERTEX_POINT('',#41884); #12993=VERTEX_POINT('',#41888); #12994=VERTEX_POINT('',#41890); #12995=VERTEX_POINT('',#41894); #12996=VERTEX_POINT('',#41896); #12997=VERTEX_POINT('',#41900); #12998=VERTEX_POINT('',#41902); #12999=VERTEX_POINT('',#41906); #13000=VERTEX_POINT('',#41908); #13001=VERTEX_POINT('',#41912); #13002=VERTEX_POINT('',#41914); #13003=VERTEX_POINT('',#41918); #13004=VERTEX_POINT('',#41920); #13005=VERTEX_POINT('',#41924); #13006=VERTEX_POINT('',#41926); #13007=VERTEX_POINT('',#41930); #13008=VERTEX_POINT('',#41932); #13009=VERTEX_POINT('',#41936); #13010=VERTEX_POINT('',#41938); #13011=VERTEX_POINT('',#41942); #13012=VERTEX_POINT('',#41944); #13013=VERTEX_POINT('',#41948); #13014=VERTEX_POINT('',#41950); #13015=VERTEX_POINT('',#41954); #13016=VERTEX_POINT('',#41956); #13017=VERTEX_POINT('',#41960); #13018=VERTEX_POINT('',#41962); #13019=VERTEX_POINT('',#41966); #13020=VERTEX_POINT('',#41968); #13021=VERTEX_POINT('',#41972); #13022=VERTEX_POINT('',#41974); #13023=VERTEX_POINT('',#41978); #13024=VERTEX_POINT('',#41980); #13025=VERTEX_POINT('',#41984); #13026=VERTEX_POINT('',#41986); #13027=VERTEX_POINT('',#41990); #13028=VERTEX_POINT('',#41992); #13029=VERTEX_POINT('',#41996); #13030=VERTEX_POINT('',#41998); #13031=VERTEX_POINT('',#42006); #13032=VERTEX_POINT('',#42007); #13033=VERTEX_POINT('',#42009); #13034=VERTEX_POINT('',#42011); #13035=VERTEX_POINT('',#42015); #13036=VERTEX_POINT('',#42017); #13037=VERTEX_POINT('',#42021); #13038=VERTEX_POINT('',#42023); #13039=VERTEX_POINT('',#42027); #13040=VERTEX_POINT('',#42029); #13041=VERTEX_POINT('',#42033); #13042=VERTEX_POINT('',#42035); #13043=VERTEX_POINT('',#42039); #13044=VERTEX_POINT('',#42041); #13045=VERTEX_POINT('',#42045); #13046=VERTEX_POINT('',#42047); #13047=VERTEX_POINT('',#42051); #13048=VERTEX_POINT('',#42053); #13049=VERTEX_POINT('',#42057); #13050=VERTEX_POINT('',#42059); #13051=VERTEX_POINT('',#42063); #13052=VERTEX_POINT('',#42065); #13053=VERTEX_POINT('',#42069); #13054=VERTEX_POINT('',#42071); #13055=VERTEX_POINT('',#42075); #13056=VERTEX_POINT('',#42077); #13057=VERTEX_POINT('',#42081); #13058=VERTEX_POINT('',#42083); #13059=VERTEX_POINT('',#42087); #13060=VERTEX_POINT('',#42089); #13061=VERTEX_POINT('',#42093); #13062=VERTEX_POINT('',#42095); #13063=VERTEX_POINT('',#42099); #13064=VERTEX_POINT('',#42101); #13065=VERTEX_POINT('',#42105); #13066=VERTEX_POINT('',#42107); #13067=VERTEX_POINT('',#42111); #13068=VERTEX_POINT('',#42113); #13069=VERTEX_POINT('',#42117); #13070=VERTEX_POINT('',#42119); #13071=VERTEX_POINT('',#42123); #13072=VERTEX_POINT('',#42125); #13073=VERTEX_POINT('',#42129); #13074=VERTEX_POINT('',#42131); #13075=VERTEX_POINT('',#42135); #13076=VERTEX_POINT('',#42137); #13077=VERTEX_POINT('',#42141); #13078=VERTEX_POINT('',#42143); #13079=VERTEX_POINT('',#42147); #13080=VERTEX_POINT('',#42149); #13081=VERTEX_POINT('',#42153); #13082=VERTEX_POINT('',#42155); #13083=VERTEX_POINT('',#42159); #13084=VERTEX_POINT('',#42161); #13085=VERTEX_POINT('',#42165); #13086=VERTEX_POINT('',#42167); #13087=VERTEX_POINT('',#42171); #13088=VERTEX_POINT('',#42173); #13089=VERTEX_POINT('',#42177); #13090=VERTEX_POINT('',#42179); #13091=VERTEX_POINT('',#42183); #13092=VERTEX_POINT('',#42185); #13093=VERTEX_POINT('',#42189); #13094=VERTEX_POINT('',#42191); #13095=VERTEX_POINT('',#42195); #13096=VERTEX_POINT('',#42197); #13097=VERTEX_POINT('',#42201); #13098=VERTEX_POINT('',#42203); #13099=VERTEX_POINT('',#42207); #13100=VERTEX_POINT('',#42209); #13101=VERTEX_POINT('',#42213); #13102=VERTEX_POINT('',#42215); #13103=VERTEX_POINT('',#42219); #13104=VERTEX_POINT('',#42221); #13105=VERTEX_POINT('',#42225); #13106=VERTEX_POINT('',#42227); #13107=VERTEX_POINT('',#42231); #13108=VERTEX_POINT('',#42233); #13109=VERTEX_POINT('',#42237); #13110=VERTEX_POINT('',#42239); #13111=VERTEX_POINT('',#42243); #13112=VERTEX_POINT('',#42245); #13113=VERTEX_POINT('',#42249); #13114=VERTEX_POINT('',#42251); #13115=VERTEX_POINT('',#42255); #13116=VERTEX_POINT('',#42257); #13117=VERTEX_POINT('',#42267); #13118=VERTEX_POINT('',#42268); #13119=VERTEX_POINT('',#42270); #13120=VERTEX_POINT('',#42272); #13121=VERTEX_POINT('',#42274); #13122=VERTEX_POINT('',#42276); #13123=VERTEX_POINT('',#42278); #13124=VERTEX_POINT('',#42280); #13125=VERTEX_POINT('',#42282); #13126=VERTEX_POINT('',#42284); #13127=VERTEX_POINT('',#42286); #13128=VERTEX_POINT('',#42288); #13129=VERTEX_POINT('',#42291); #13130=VERTEX_POINT('',#42293); #13131=VERTEX_POINT('',#42295); #13132=VERTEX_POINT('',#42297); #13133=VERTEX_POINT('',#42299); #13134=VERTEX_POINT('',#42301); #13135=VERTEX_POINT('',#42303); #13136=VERTEX_POINT('',#42305); #13137=VERTEX_POINT('',#42307); #13138=VERTEX_POINT('',#42309); #13139=VERTEX_POINT('',#42311); #13140=VERTEX_POINT('',#42313); #13141=VERTEX_POINT('',#42315); #13142=VERTEX_POINT('',#42317); #13143=VERTEX_POINT('',#42322); #13144=VERTEX_POINT('',#42326); #13145=VERTEX_POINT('',#42330); #13146=VERTEX_POINT('',#42334); #13147=VERTEX_POINT('',#42338); #13148=VERTEX_POINT('',#42342); #13149=VERTEX_POINT('',#42346); #13150=VERTEX_POINT('',#42350); #13151=VERTEX_POINT('',#42354); #13152=VERTEX_POINT('',#42358); #13153=VERTEX_POINT('',#42362); #13154=VERTEX_POINT('',#42366); #13155=VERTEX_POINT('',#42370); #13156=VERTEX_POINT('',#42374); #13157=VERTEX_POINT('',#42378); #13158=VERTEX_POINT('',#42379); #13159=VERTEX_POINT('',#42381); #13160=VERTEX_POINT('',#42383); #13161=VERTEX_POINT('',#42387); #13162=VERTEX_POINT('',#42388); #13163=VERTEX_POINT('',#42390); #13164=VERTEX_POINT('',#42392); #13165=VERTEX_POINT('',#42396); #13166=VERTEX_POINT('',#42397); #13167=VERTEX_POINT('',#42399); #13168=VERTEX_POINT('',#42401); #13169=VERTEX_POINT('',#42405); #13170=VERTEX_POINT('',#42406); #13171=VERTEX_POINT('',#42408); #13172=VERTEX_POINT('',#42410); #13173=VERTEX_POINT('',#42414); #13174=VERTEX_POINT('',#42416); #13175=VERTEX_POINT('',#42420); #13176=VERTEX_POINT('',#42422); #13177=VERTEX_POINT('',#42426); #13178=VERTEX_POINT('',#42428); #13179=VERTEX_POINT('',#42432); #13180=VERTEX_POINT('',#42434); #13181=VERTEX_POINT('',#42438); #13182=VERTEX_POINT('',#42439); #13183=VERTEX_POINT('',#42444); #13184=VERTEX_POINT('',#42448); #13185=VERTEX_POINT('',#42454); #13186=VERTEX_POINT('',#42455); #13187=VERTEX_POINT('',#42457); #13188=VERTEX_POINT('',#42459); #13189=VERTEX_POINT('',#42463); #13190=VERTEX_POINT('',#42465); #13191=VERTEX_POINT('',#42469); #13192=VERTEX_POINT('',#42471); #13193=VERTEX_POINT('',#42475); #13194=VERTEX_POINT('',#42477); #13195=VERTEX_POINT('',#42481); #13196=VERTEX_POINT('',#42483); #13197=VERTEX_POINT('',#42487); #13198=VERTEX_POINT('',#42488); #13199=VERTEX_POINT('',#42493); #13200=VERTEX_POINT('',#42497); #13201=VERTEX_POINT('',#42503); #13202=VERTEX_POINT('',#42504); #13203=VERTEX_POINT('',#42509); #13204=VERTEX_POINT('',#42510); #13205=VERTEX_POINT('',#42512); #13206=VERTEX_POINT('',#42514); #13207=VERTEX_POINT('',#42518); #13208=VERTEX_POINT('',#42520); #13209=VERTEX_POINT('',#42524); #13210=VERTEX_POINT('',#42526); #13211=VERTEX_POINT('',#42530); #13212=VERTEX_POINT('',#42532); #13213=VERTEX_POINT('',#42536); #13214=VERTEX_POINT('',#42537); #13215=VERTEX_POINT('',#42542); #13216=VERTEX_POINT('',#42543); #13217=VERTEX_POINT('',#42546); #13218=VERTEX_POINT('',#42549); #13219=VERTEX_POINT('',#42551); #13220=VERTEX_POINT('',#42553); #13221=VERTEX_POINT('',#42555); #13222=VERTEX_POINT('',#42558); #13223=VERTEX_POINT('',#42560); #13224=VERTEX_POINT('',#42562); #13225=VERTEX_POINT('',#42564); #13226=VERTEX_POINT('',#42567); #13227=VERTEX_POINT('',#42569); #13228=VERTEX_POINT('',#42573); #13229=VERTEX_POINT('',#42577); #13230=VERTEX_POINT('',#42579); #13231=VERTEX_POINT('',#42581); #13232=VERTEX_POINT('',#42583); #13233=VERTEX_POINT('',#42586); #13234=VERTEX_POINT('',#42588); #13235=VERTEX_POINT('',#42590); #13236=VERTEX_POINT('',#42592); #13237=VERTEX_POINT('',#42595); #13238=VERTEX_POINT('',#42597); #13239=VERTEX_POINT('',#42599); #13240=VERTEX_POINT('',#42601); #13241=VERTEX_POINT('',#42606); #13242=VERTEX_POINT('',#42607); #13243=VERTEX_POINT('',#42609); #13244=VERTEX_POINT('',#42611); #13245=VERTEX_POINT('',#42615); #13246=VERTEX_POINT('',#42617); #13247=VERTEX_POINT('',#42621); #13248=VERTEX_POINT('',#42623); #13249=VERTEX_POINT('',#42627); #13250=VERTEX_POINT('',#42629); #13251=VERTEX_POINT('',#42633); #13252=VERTEX_POINT('',#42635); #13253=VERTEX_POINT('',#42639); #13254=VERTEX_POINT('',#42640); #13255=VERTEX_POINT('',#42645); #13256=VERTEX_POINT('',#42649); #13257=VERTEX_POINT('',#42655); #13258=VERTEX_POINT('',#42657); #13259=VERTEX_POINT('',#42661); #13260=VERTEX_POINT('',#42663); #13261=VERTEX_POINT('',#42667); #13262=VERTEX_POINT('',#42671); #13263=VERTEX_POINT('',#42673); #13264=VERTEX_POINT('',#42677); #13265=VERTEX_POINT('',#42681); #13266=VERTEX_POINT('',#42683); #13267=VERTEX_POINT('',#42687); #13268=VERTEX_POINT('',#42691); #13269=EDGE_CURVE('',#10777,#10778,#10123,.T.); #13270=EDGE_CURVE('',#10779,#10778,#3923,.T.); #13271=EDGE_CURVE('',#10780,#10779,#10124,.T.); #13272=EDGE_CURVE('',#10777,#10780,#3924,.T.); #13273=EDGE_CURVE('',#10777,#10781,#3925,.T.); #13274=EDGE_CURVE('',#10780,#10782,#3926,.T.); #13275=EDGE_CURVE('',#10781,#10782,#3927,.T.); #13276=EDGE_CURVE('',#10783,#10784,#3928,.T.); #13277=EDGE_CURVE('',#10785,#10784,#3929,.T.); #13278=EDGE_CURVE('',#10786,#10785,#3930,.T.); #13279=EDGE_CURVE('',#10786,#10783,#3931,.T.); #13280=EDGE_CURVE('',#10784,#10787,#3932,.T.); #13281=EDGE_CURVE('',#10788,#10787,#3933,.T.); #13282=EDGE_CURVE('',#10785,#10788,#3934,.T.); #13283=EDGE_CURVE('',#10787,#10779,#3935,.T.); #13284=EDGE_CURVE('',#10788,#10778,#3936,.T.); #13285=EDGE_CURVE('',#10782,#10783,#3937,.T.); #13286=EDGE_CURVE('',#10781,#10786,#3938,.T.); #13287=EDGE_CURVE('',#10789,#10790,#3939,.T.); #13288=EDGE_CURVE('',#10789,#10791,#3940,.T.); #13289=EDGE_CURVE('',#10792,#10791,#3941,.T.); #13290=EDGE_CURVE('',#10790,#10792,#3942,.T.); #13291=EDGE_CURVE('',#10793,#10794,#3943,.T.); #13292=EDGE_CURVE('',#10793,#10795,#3944,.T.); #13293=EDGE_CURVE('',#10796,#10795,#3945,.T.); #13294=EDGE_CURVE('',#10794,#10796,#3946,.T.); #13295=EDGE_CURVE('',#10794,#10789,#3947,.T.); #13296=EDGE_CURVE('',#10791,#10796,#3948,.T.); #13297=EDGE_CURVE('',#10795,#10797,#3949,.T.); #13298=EDGE_CURVE('',#10797,#10798,#10125,.T.); #13299=EDGE_CURVE('',#10798,#10792,#3950,.T.); #13300=EDGE_CURVE('',#10799,#10800,#3951,.T.); #13301=EDGE_CURVE('',#10799,#10801,#3952,.T.); #13302=EDGE_CURVE('',#10802,#10801,#3953,.T.); #13303=EDGE_CURVE('',#10800,#10802,#3954,.T.); #13304=EDGE_CURVE('',#10803,#10804,#3955,.T.); #13305=EDGE_CURVE('',#10803,#10805,#3956,.T.); #13306=EDGE_CURVE('',#10806,#10805,#3957,.T.); #13307=EDGE_CURVE('',#10804,#10806,#3958,.T.); #13308=EDGE_CURVE('',#10804,#10799,#3959,.T.); #13309=EDGE_CURVE('',#10801,#10806,#3960,.T.); #13310=EDGE_CURVE('',#10805,#10807,#3961,.T.); #13311=EDGE_CURVE('',#10807,#10808,#10126,.T.); #13312=EDGE_CURVE('',#10808,#10802,#3962,.T.); #13313=EDGE_CURVE('',#10809,#10810,#3963,.T.); #13314=EDGE_CURVE('',#10809,#10811,#3964,.T.); #13315=EDGE_CURVE('',#10812,#10811,#3965,.T.); #13316=EDGE_CURVE('',#10810,#10812,#3966,.T.); #13317=EDGE_CURVE('',#10813,#10814,#3967,.T.); #13318=EDGE_CURVE('',#10813,#10815,#3968,.T.); #13319=EDGE_CURVE('',#10816,#10815,#3969,.T.); #13320=EDGE_CURVE('',#10814,#10816,#3970,.T.); #13321=EDGE_CURVE('',#10814,#10809,#3971,.T.); #13322=EDGE_CURVE('',#10811,#10816,#3972,.T.); #13323=EDGE_CURVE('',#10815,#10817,#3973,.T.); #13324=EDGE_CURVE('',#10817,#10818,#10127,.T.); #13325=EDGE_CURVE('',#10818,#10812,#3974,.T.); #13326=EDGE_CURVE('',#10819,#10820,#3975,.T.); #13327=EDGE_CURVE('',#10819,#10821,#3976,.T.); #13328=EDGE_CURVE('',#10822,#10821,#3977,.T.); #13329=EDGE_CURVE('',#10820,#10822,#3978,.T.); #13330=EDGE_CURVE('',#10823,#10824,#3979,.T.); #13331=EDGE_CURVE('',#10823,#10825,#3980,.T.); #13332=EDGE_CURVE('',#10826,#10825,#3981,.T.); #13333=EDGE_CURVE('',#10824,#10826,#3982,.T.); #13334=EDGE_CURVE('',#10824,#10819,#3983,.T.); #13335=EDGE_CURVE('',#10821,#10826,#3984,.T.); #13336=EDGE_CURVE('',#10825,#10827,#3985,.T.); #13337=EDGE_CURVE('',#10827,#10828,#10128,.T.); #13338=EDGE_CURVE('',#10828,#10822,#3986,.T.); #13339=EDGE_CURVE('',#10829,#10830,#3987,.T.); #13340=EDGE_CURVE('',#10829,#10831,#3988,.T.); #13341=EDGE_CURVE('',#10832,#10831,#3989,.T.); #13342=EDGE_CURVE('',#10830,#10832,#3990,.T.); #13343=EDGE_CURVE('',#10833,#10834,#3991,.T.); #13344=EDGE_CURVE('',#10833,#10835,#3992,.T.); #13345=EDGE_CURVE('',#10836,#10835,#3993,.T.); #13346=EDGE_CURVE('',#10834,#10836,#3994,.T.); #13347=EDGE_CURVE('',#10834,#10829,#3995,.T.); #13348=EDGE_CURVE('',#10831,#10836,#3996,.T.); #13349=EDGE_CURVE('',#10835,#10837,#3997,.T.); #13350=EDGE_CURVE('',#10837,#10838,#10129,.T.); #13351=EDGE_CURVE('',#10838,#10832,#3998,.T.); #13352=EDGE_CURVE('',#10839,#10840,#3999,.T.); #13353=EDGE_CURVE('',#10839,#10841,#4000,.T.); #13354=EDGE_CURVE('',#10842,#10841,#4001,.T.); #13355=EDGE_CURVE('',#10840,#10842,#4002,.T.); #13356=EDGE_CURVE('',#10843,#10844,#4003,.T.); #13357=EDGE_CURVE('',#10843,#10845,#4004,.T.); #13358=EDGE_CURVE('',#10846,#10845,#4005,.T.); #13359=EDGE_CURVE('',#10844,#10846,#4006,.T.); #13360=EDGE_CURVE('',#10844,#10839,#4007,.T.); #13361=EDGE_CURVE('',#10841,#10846,#4008,.T.); #13362=EDGE_CURVE('',#10847,#10848,#10130,.T.); #13363=EDGE_CURVE('',#10848,#10842,#4009,.T.); #13364=EDGE_CURVE('',#10845,#10847,#4010,.T.); #13365=EDGE_CURVE('',#10849,#10850,#4011,.T.); #13366=EDGE_CURVE('',#10849,#10851,#4012,.T.); #13367=EDGE_CURVE('',#10852,#10851,#4013,.T.); #13368=EDGE_CURVE('',#10850,#10852,#4014,.T.); #13369=EDGE_CURVE('',#10853,#10854,#4015,.T.); #13370=EDGE_CURVE('',#10853,#10855,#4016,.T.); #13371=EDGE_CURVE('',#10856,#10855,#4017,.T.); #13372=EDGE_CURVE('',#10854,#10856,#4018,.T.); #13373=EDGE_CURVE('',#10854,#10849,#4019,.T.); #13374=EDGE_CURVE('',#10851,#10856,#4020,.T.); #13375=EDGE_CURVE('',#10857,#10858,#10131,.T.); #13376=EDGE_CURVE('',#10858,#10852,#4021,.T.); #13377=EDGE_CURVE('',#10855,#10857,#4022,.T.); #13378=EDGE_CURVE('',#10859,#10860,#4023,.T.); #13379=EDGE_CURVE('',#10859,#10861,#4024,.T.); #13380=EDGE_CURVE('',#10862,#10861,#4025,.T.); #13381=EDGE_CURVE('',#10860,#10862,#4026,.T.); #13382=EDGE_CURVE('',#10863,#10864,#4027,.T.); #13383=EDGE_CURVE('',#10863,#10865,#4028,.T.); #13384=EDGE_CURVE('',#10866,#10865,#4029,.T.); #13385=EDGE_CURVE('',#10864,#10866,#4030,.T.); #13386=EDGE_CURVE('',#10864,#10859,#4031,.T.); #13387=EDGE_CURVE('',#10861,#10866,#4032,.T.); #13388=EDGE_CURVE('',#10867,#10868,#10132,.T.); #13389=EDGE_CURVE('',#10868,#10862,#4033,.T.); #13390=EDGE_CURVE('',#10865,#10867,#4034,.T.); #13391=EDGE_CURVE('',#10869,#10870,#4035,.T.); #13392=EDGE_CURVE('',#10869,#10871,#4036,.T.); #13393=EDGE_CURVE('',#10872,#10871,#4037,.T.); #13394=EDGE_CURVE('',#10870,#10872,#4038,.T.); #13395=EDGE_CURVE('',#10873,#10874,#4039,.T.); #13396=EDGE_CURVE('',#10873,#10875,#4040,.T.); #13397=EDGE_CURVE('',#10876,#10875,#4041,.T.); #13398=EDGE_CURVE('',#10874,#10876,#4042,.T.); #13399=EDGE_CURVE('',#10874,#10869,#4043,.T.); #13400=EDGE_CURVE('',#10871,#10876,#4044,.T.); #13401=EDGE_CURVE('',#10877,#10878,#10133,.T.); #13402=EDGE_CURVE('',#10878,#10872,#4045,.T.); #13403=EDGE_CURVE('',#10875,#10877,#4046,.T.); #13404=EDGE_CURVE('',#10879,#10880,#4047,.T.); #13405=EDGE_CURVE('',#10879,#10881,#4048,.T.); #13406=EDGE_CURVE('',#10882,#10881,#4049,.T.); #13407=EDGE_CURVE('',#10880,#10882,#4050,.T.); #13408=EDGE_CURVE('',#10883,#10884,#4051,.T.); #13409=EDGE_CURVE('',#10883,#10885,#4052,.T.); #13410=EDGE_CURVE('',#10886,#10885,#4053,.T.); #13411=EDGE_CURVE('',#10884,#10886,#4054,.T.); #13412=EDGE_CURVE('',#10884,#10879,#4055,.T.); #13413=EDGE_CURVE('',#10881,#10886,#4056,.T.); #13414=EDGE_CURVE('',#10887,#10888,#10134,.T.); #13415=EDGE_CURVE('',#10888,#10882,#4057,.T.); #13416=EDGE_CURVE('',#10885,#10887,#4058,.T.); #13417=EDGE_CURVE('',#10889,#10890,#4059,.T.); #13418=EDGE_CURVE('',#10889,#10891,#4060,.T.); #13419=EDGE_CURVE('',#10892,#10891,#4061,.T.); #13420=EDGE_CURVE('',#10890,#10892,#4062,.T.); #13421=EDGE_CURVE('',#10893,#10894,#4063,.T.); #13422=EDGE_CURVE('',#10893,#10895,#4064,.T.); #13423=EDGE_CURVE('',#10896,#10895,#4065,.T.); #13424=EDGE_CURVE('',#10894,#10896,#4066,.T.); #13425=EDGE_CURVE('',#10894,#10889,#4067,.T.); #13426=EDGE_CURVE('',#10891,#10896,#4068,.T.); #13427=EDGE_CURVE('',#10897,#10898,#10135,.T.); #13428=EDGE_CURVE('',#10898,#10892,#4069,.T.); #13429=EDGE_CURVE('',#10895,#10897,#4070,.T.); #13430=EDGE_CURVE('',#10899,#10900,#4071,.T.); #13431=EDGE_CURVE('',#10899,#10901,#4072,.T.); #13432=EDGE_CURVE('',#10902,#10901,#4073,.T.); #13433=EDGE_CURVE('',#10900,#10902,#4074,.T.); #13434=EDGE_CURVE('',#10903,#10904,#4075,.T.); #13435=EDGE_CURVE('',#10903,#10905,#4076,.T.); #13436=EDGE_CURVE('',#10906,#10905,#4077,.T.); #13437=EDGE_CURVE('',#10904,#10906,#4078,.T.); #13438=EDGE_CURVE('',#10904,#10899,#4079,.T.); #13439=EDGE_CURVE('',#10901,#10906,#4080,.T.); #13440=EDGE_CURVE('',#10907,#10908,#10136,.T.); #13441=EDGE_CURVE('',#10908,#10902,#4081,.T.); #13442=EDGE_CURVE('',#10905,#10907,#4082,.T.); #13443=EDGE_CURVE('',#10909,#10910,#4083,.T.); #13444=EDGE_CURVE('',#10909,#10911,#4084,.T.); #13445=EDGE_CURVE('',#10912,#10911,#4085,.T.); #13446=EDGE_CURVE('',#10910,#10912,#4086,.T.); #13447=EDGE_CURVE('',#10913,#10914,#4087,.T.); #13448=EDGE_CURVE('',#10913,#10915,#4088,.T.); #13449=EDGE_CURVE('',#10916,#10915,#4089,.T.); #13450=EDGE_CURVE('',#10914,#10916,#4090,.T.); #13451=EDGE_CURVE('',#10914,#10909,#4091,.T.); #13452=EDGE_CURVE('',#10911,#10916,#4092,.T.); #13453=EDGE_CURVE('',#10917,#10918,#10137,.T.); #13454=EDGE_CURVE('',#10918,#10912,#4093,.T.); #13455=EDGE_CURVE('',#10915,#10917,#4094,.T.); #13456=EDGE_CURVE('',#10919,#10920,#4095,.T.); #13457=EDGE_CURVE('',#10919,#10921,#4096,.T.); #13458=EDGE_CURVE('',#10922,#10921,#4097,.T.); #13459=EDGE_CURVE('',#10920,#10922,#4098,.T.); #13460=EDGE_CURVE('',#10923,#10924,#4099,.T.); #13461=EDGE_CURVE('',#10923,#10925,#4100,.T.); #13462=EDGE_CURVE('',#10926,#10925,#4101,.T.); #13463=EDGE_CURVE('',#10924,#10926,#4102,.T.); #13464=EDGE_CURVE('',#10924,#10919,#4103,.T.); #13465=EDGE_CURVE('',#10921,#10926,#4104,.T.); #13466=EDGE_CURVE('',#10927,#10928,#10138,.T.); #13467=EDGE_CURVE('',#10928,#10922,#4105,.T.); #13468=EDGE_CURVE('',#10925,#10927,#4106,.T.); #13469=EDGE_CURVE('',#10929,#10930,#4107,.T.); #13470=EDGE_CURVE('',#10929,#10931,#4108,.T.); #13471=EDGE_CURVE('',#10932,#10931,#4109,.T.); #13472=EDGE_CURVE('',#10930,#10932,#4110,.T.); #13473=EDGE_CURVE('',#10933,#10934,#4111,.T.); #13474=EDGE_CURVE('',#10933,#10935,#4112,.T.); #13475=EDGE_CURVE('',#10936,#10935,#4113,.T.); #13476=EDGE_CURVE('',#10934,#10936,#4114,.T.); #13477=EDGE_CURVE('',#10934,#10929,#4115,.T.); #13478=EDGE_CURVE('',#10931,#10936,#4116,.T.); #13479=EDGE_CURVE('',#10937,#10938,#10139,.T.); #13480=EDGE_CURVE('',#10938,#10932,#4117,.T.); #13481=EDGE_CURVE('',#10935,#10937,#4118,.T.); #13482=EDGE_CURVE('',#10939,#10940,#4119,.T.); #13483=EDGE_CURVE('',#10939,#10941,#4120,.T.); #13484=EDGE_CURVE('',#10942,#10941,#4121,.T.); #13485=EDGE_CURVE('',#10940,#10942,#4122,.T.); #13486=EDGE_CURVE('',#10943,#10944,#4123,.T.); #13487=EDGE_CURVE('',#10943,#10945,#4124,.T.); #13488=EDGE_CURVE('',#10946,#10945,#4125,.T.); #13489=EDGE_CURVE('',#10944,#10946,#4126,.T.); #13490=EDGE_CURVE('',#10944,#10939,#4127,.T.); #13491=EDGE_CURVE('',#10941,#10946,#4128,.T.); #13492=EDGE_CURVE('',#10945,#10947,#4129,.T.); #13493=EDGE_CURVE('',#10947,#10948,#10140,.T.); #13494=EDGE_CURVE('',#10948,#10942,#4130,.T.); #13495=EDGE_CURVE('',#10949,#10950,#4131,.T.); #13496=EDGE_CURVE('',#10949,#10951,#4132,.T.); #13497=EDGE_CURVE('',#10952,#10951,#4133,.T.); #13498=EDGE_CURVE('',#10950,#10952,#4134,.T.); #13499=EDGE_CURVE('',#10953,#10954,#4135,.T.); #13500=EDGE_CURVE('',#10953,#10955,#4136,.T.); #13501=EDGE_CURVE('',#10956,#10955,#4137,.T.); #13502=EDGE_CURVE('',#10954,#10956,#4138,.T.); #13503=EDGE_CURVE('',#10954,#10949,#4139,.T.); #13504=EDGE_CURVE('',#10951,#10956,#4140,.T.); #13505=EDGE_CURVE('',#10955,#10957,#4141,.T.); #13506=EDGE_CURVE('',#10957,#10958,#10141,.T.); #13507=EDGE_CURVE('',#10958,#10952,#4142,.T.); #13508=EDGE_CURVE('',#10959,#10960,#4143,.T.); #13509=EDGE_CURVE('',#10959,#10961,#4144,.T.); #13510=EDGE_CURVE('',#10962,#10961,#4145,.T.); #13511=EDGE_CURVE('',#10960,#10962,#4146,.T.); #13512=EDGE_CURVE('',#10963,#10964,#4147,.T.); #13513=EDGE_CURVE('',#10963,#10965,#4148,.T.); #13514=EDGE_CURVE('',#10966,#10965,#4149,.T.); #13515=EDGE_CURVE('',#10964,#10966,#4150,.T.); #13516=EDGE_CURVE('',#10964,#10959,#4151,.T.); #13517=EDGE_CURVE('',#10961,#10966,#4152,.T.); #13518=EDGE_CURVE('',#10965,#10967,#4153,.T.); #13519=EDGE_CURVE('',#10967,#10968,#10142,.T.); #13520=EDGE_CURVE('',#10968,#10962,#4154,.T.); #13521=EDGE_CURVE('',#10969,#10970,#4155,.T.); #13522=EDGE_CURVE('',#10969,#10971,#4156,.T.); #13523=EDGE_CURVE('',#10972,#10971,#4157,.T.); #13524=EDGE_CURVE('',#10970,#10972,#4158,.T.); #13525=EDGE_CURVE('',#10973,#10974,#4159,.T.); #13526=EDGE_CURVE('',#10973,#10975,#4160,.T.); #13527=EDGE_CURVE('',#10976,#10975,#4161,.T.); #13528=EDGE_CURVE('',#10974,#10976,#4162,.T.); #13529=EDGE_CURVE('',#10974,#10969,#4163,.T.); #13530=EDGE_CURVE('',#10971,#10976,#4164,.T.); #13531=EDGE_CURVE('',#10975,#10977,#4165,.T.); #13532=EDGE_CURVE('',#10977,#10978,#10143,.T.); #13533=EDGE_CURVE('',#10978,#10972,#4166,.T.); #13534=EDGE_CURVE('',#10979,#10980,#4167,.T.); #13535=EDGE_CURVE('',#10979,#10981,#4168,.T.); #13536=EDGE_CURVE('',#10982,#10981,#4169,.T.); #13537=EDGE_CURVE('',#10980,#10982,#4170,.T.); #13538=EDGE_CURVE('',#10983,#10984,#4171,.T.); #13539=EDGE_CURVE('',#10983,#10985,#4172,.T.); #13540=EDGE_CURVE('',#10986,#10985,#4173,.T.); #13541=EDGE_CURVE('',#10984,#10986,#4174,.T.); #13542=EDGE_CURVE('',#10984,#10979,#4175,.T.); #13543=EDGE_CURVE('',#10981,#10986,#4176,.T.); #13544=EDGE_CURVE('',#10985,#10987,#4177,.T.); #13545=EDGE_CURVE('',#10987,#10988,#10144,.T.); #13546=EDGE_CURVE('',#10988,#10982,#4178,.T.); #13547=EDGE_CURVE('',#10989,#10990,#4179,.T.); #13548=EDGE_CURVE('',#10989,#10991,#4180,.T.); #13549=EDGE_CURVE('',#10992,#10991,#4181,.T.); #13550=EDGE_CURVE('',#10990,#10992,#4182,.T.); #13551=EDGE_CURVE('',#10993,#10994,#4183,.T.); #13552=EDGE_CURVE('',#10993,#10995,#4184,.T.); #13553=EDGE_CURVE('',#10996,#10995,#4185,.T.); #13554=EDGE_CURVE('',#10994,#10996,#4186,.T.); #13555=EDGE_CURVE('',#10994,#10989,#4187,.T.); #13556=EDGE_CURVE('',#10991,#10996,#4188,.T.); #13557=EDGE_CURVE('',#10995,#10997,#4189,.T.); #13558=EDGE_CURVE('',#10997,#10998,#10145,.T.); #13559=EDGE_CURVE('',#10998,#10992,#4190,.T.); #13560=EDGE_CURVE('',#10999,#11000,#4191,.T.); #13561=EDGE_CURVE('',#10999,#11001,#4192,.T.); #13562=EDGE_CURVE('',#11002,#11001,#4193,.T.); #13563=EDGE_CURVE('',#11000,#11002,#4194,.T.); #13564=EDGE_CURVE('',#11003,#11004,#4195,.T.); #13565=EDGE_CURVE('',#11003,#11005,#4196,.T.); #13566=EDGE_CURVE('',#11006,#11005,#4197,.T.); #13567=EDGE_CURVE('',#11004,#11006,#4198,.T.); #13568=EDGE_CURVE('',#11004,#10999,#4199,.T.); #13569=EDGE_CURVE('',#11001,#11006,#4200,.T.); #13570=EDGE_CURVE('',#11005,#11007,#4201,.T.); #13571=EDGE_CURVE('',#11007,#11008,#10146,.T.); #13572=EDGE_CURVE('',#11008,#11002,#4202,.T.); #13573=EDGE_CURVE('',#11009,#11010,#4203,.T.); #13574=EDGE_CURVE('',#11009,#11011,#4204,.T.); #13575=EDGE_CURVE('',#11012,#11011,#4205,.T.); #13576=EDGE_CURVE('',#11010,#11012,#4206,.T.); #13577=EDGE_CURVE('',#11013,#11014,#4207,.T.); #13578=EDGE_CURVE('',#11013,#11015,#4208,.T.); #13579=EDGE_CURVE('',#11016,#11015,#4209,.T.); #13580=EDGE_CURVE('',#11014,#11016,#4210,.T.); #13581=EDGE_CURVE('',#11014,#11009,#4211,.T.); #13582=EDGE_CURVE('',#11011,#11016,#4212,.T.); #13583=EDGE_CURVE('',#11015,#11017,#4213,.T.); #13584=EDGE_CURVE('',#11017,#11018,#10147,.T.); #13585=EDGE_CURVE('',#11018,#11012,#4214,.T.); #13586=EDGE_CURVE('',#11019,#11020,#4215,.T.); #13587=EDGE_CURVE('',#11019,#11021,#4216,.T.); #13588=EDGE_CURVE('',#11022,#11021,#4217,.T.); #13589=EDGE_CURVE('',#11020,#11022,#4218,.T.); #13590=EDGE_CURVE('',#11023,#11024,#4219,.T.); #13591=EDGE_CURVE('',#11023,#11025,#4220,.T.); #13592=EDGE_CURVE('',#11026,#11025,#4221,.T.); #13593=EDGE_CURVE('',#11024,#11026,#4222,.T.); #13594=EDGE_CURVE('',#11024,#11019,#4223,.T.); #13595=EDGE_CURVE('',#11021,#11026,#4224,.T.); #13596=EDGE_CURVE('',#11025,#11027,#4225,.T.); #13597=EDGE_CURVE('',#11027,#11028,#10148,.T.); #13598=EDGE_CURVE('',#11028,#11022,#4226,.T.); #13599=EDGE_CURVE('',#11029,#11030,#4227,.T.); #13600=EDGE_CURVE('',#11029,#11031,#4228,.T.); #13601=EDGE_CURVE('',#11032,#11031,#4229,.T.); #13602=EDGE_CURVE('',#11030,#11032,#4230,.T.); #13603=EDGE_CURVE('',#11033,#11034,#4231,.T.); #13604=EDGE_CURVE('',#11033,#11035,#4232,.T.); #13605=EDGE_CURVE('',#11036,#11035,#4233,.T.); #13606=EDGE_CURVE('',#11034,#11036,#4234,.T.); #13607=EDGE_CURVE('',#11034,#11029,#4235,.T.); #13608=EDGE_CURVE('',#11031,#11036,#4236,.T.); #13609=EDGE_CURVE('',#11035,#11037,#4237,.T.); #13610=EDGE_CURVE('',#11037,#11038,#10149,.T.); #13611=EDGE_CURVE('',#11038,#11032,#4238,.T.); #13612=EDGE_CURVE('',#11039,#11040,#4239,.T.); #13613=EDGE_CURVE('',#11039,#11041,#4240,.T.); #13614=EDGE_CURVE('',#11042,#11041,#4241,.T.); #13615=EDGE_CURVE('',#11040,#11042,#4242,.T.); #13616=EDGE_CURVE('',#11043,#11044,#4243,.T.); #13617=EDGE_CURVE('',#11043,#11045,#4244,.T.); #13618=EDGE_CURVE('',#11046,#11045,#4245,.T.); #13619=EDGE_CURVE('',#11044,#11046,#4246,.T.); #13620=EDGE_CURVE('',#11044,#11039,#4247,.T.); #13621=EDGE_CURVE('',#11041,#11046,#4248,.T.); #13622=EDGE_CURVE('',#11045,#11047,#4249,.T.); #13623=EDGE_CURVE('',#11047,#11048,#10150,.T.); #13624=EDGE_CURVE('',#11048,#11042,#4250,.T.); #13625=EDGE_CURVE('',#11049,#11050,#4251,.T.); #13626=EDGE_CURVE('',#11049,#11051,#4252,.T.); #13627=EDGE_CURVE('',#11052,#11051,#4253,.T.); #13628=EDGE_CURVE('',#11050,#11052,#4254,.T.); #13629=EDGE_CURVE('',#11053,#11054,#4255,.T.); #13630=EDGE_CURVE('',#11053,#11055,#4256,.T.); #13631=EDGE_CURVE('',#11056,#11055,#4257,.T.); #13632=EDGE_CURVE('',#11054,#11056,#4258,.T.); #13633=EDGE_CURVE('',#11054,#11049,#4259,.T.); #13634=EDGE_CURVE('',#11051,#11056,#4260,.T.); #13635=EDGE_CURVE('',#11057,#11058,#10151,.T.); #13636=EDGE_CURVE('',#11058,#11052,#4261,.T.); #13637=EDGE_CURVE('',#11055,#11057,#4262,.T.); #13638=EDGE_CURVE('',#11059,#11060,#4263,.T.); #13639=EDGE_CURVE('',#11059,#11061,#4264,.T.); #13640=EDGE_CURVE('',#11062,#11061,#4265,.T.); #13641=EDGE_CURVE('',#11060,#11062,#4266,.T.); #13642=EDGE_CURVE('',#11063,#11064,#4267,.T.); #13643=EDGE_CURVE('',#11063,#11065,#4268,.T.); #13644=EDGE_CURVE('',#11066,#11065,#4269,.T.); #13645=EDGE_CURVE('',#11064,#11066,#4270,.T.); #13646=EDGE_CURVE('',#11064,#11059,#4271,.T.); #13647=EDGE_CURVE('',#11061,#11066,#4272,.T.); #13648=EDGE_CURVE('',#11067,#11068,#10152,.T.); #13649=EDGE_CURVE('',#11068,#11062,#4273,.T.); #13650=EDGE_CURVE('',#11065,#11067,#4274,.T.); #13651=EDGE_CURVE('',#11069,#11070,#4275,.T.); #13652=EDGE_CURVE('',#11069,#11071,#4276,.T.); #13653=EDGE_CURVE('',#11072,#11071,#4277,.T.); #13654=EDGE_CURVE('',#11070,#11072,#4278,.T.); #13655=EDGE_CURVE('',#11073,#11074,#4279,.T.); #13656=EDGE_CURVE('',#11073,#11075,#4280,.T.); #13657=EDGE_CURVE('',#11076,#11075,#4281,.T.); #13658=EDGE_CURVE('',#11074,#11076,#4282,.T.); #13659=EDGE_CURVE('',#11074,#11069,#4283,.T.); #13660=EDGE_CURVE('',#11071,#11076,#4284,.T.); #13661=EDGE_CURVE('',#11077,#11078,#10153,.T.); #13662=EDGE_CURVE('',#11078,#11072,#4285,.T.); #13663=EDGE_CURVE('',#11075,#11077,#4286,.T.); #13664=EDGE_CURVE('',#11079,#11080,#4287,.T.); #13665=EDGE_CURVE('',#11079,#11081,#4288,.T.); #13666=EDGE_CURVE('',#11082,#11081,#4289,.T.); #13667=EDGE_CURVE('',#11080,#11082,#4290,.T.); #13668=EDGE_CURVE('',#11083,#11084,#4291,.T.); #13669=EDGE_CURVE('',#11083,#11085,#4292,.T.); #13670=EDGE_CURVE('',#11086,#11085,#4293,.T.); #13671=EDGE_CURVE('',#11084,#11086,#4294,.T.); #13672=EDGE_CURVE('',#11084,#11079,#4295,.T.); #13673=EDGE_CURVE('',#11081,#11086,#4296,.T.); #13674=EDGE_CURVE('',#11087,#11088,#10154,.T.); #13675=EDGE_CURVE('',#11088,#11082,#4297,.T.); #13676=EDGE_CURVE('',#11085,#11087,#4298,.T.); #13677=EDGE_CURVE('',#11089,#11090,#4299,.T.); #13678=EDGE_CURVE('',#11089,#11091,#4300,.T.); #13679=EDGE_CURVE('',#11092,#11091,#4301,.T.); #13680=EDGE_CURVE('',#11090,#11092,#4302,.T.); #13681=EDGE_CURVE('',#11093,#11094,#4303,.T.); #13682=EDGE_CURVE('',#11093,#11095,#4304,.T.); #13683=EDGE_CURVE('',#11096,#11095,#4305,.T.); #13684=EDGE_CURVE('',#11094,#11096,#4306,.T.); #13685=EDGE_CURVE('',#11094,#11089,#4307,.T.); #13686=EDGE_CURVE('',#11091,#11096,#4308,.T.); #13687=EDGE_CURVE('',#11095,#11097,#4309,.T.); #13688=EDGE_CURVE('',#11097,#11098,#10155,.T.); #13689=EDGE_CURVE('',#11098,#11092,#4310,.T.); #13690=EDGE_CURVE('',#11099,#11100,#4311,.T.); #13691=EDGE_CURVE('',#11099,#11101,#4312,.T.); #13692=EDGE_CURVE('',#11102,#11101,#4313,.T.); #13693=EDGE_CURVE('',#11100,#11102,#4314,.T.); #13694=EDGE_CURVE('',#11103,#11104,#4315,.T.); #13695=EDGE_CURVE('',#11103,#11105,#4316,.T.); #13696=EDGE_CURVE('',#11106,#11105,#4317,.T.); #13697=EDGE_CURVE('',#11104,#11106,#4318,.T.); #13698=EDGE_CURVE('',#11104,#11099,#4319,.T.); #13699=EDGE_CURVE('',#11101,#11106,#4320,.T.); #13700=EDGE_CURVE('',#11107,#11108,#10156,.T.); #13701=EDGE_CURVE('',#11108,#11102,#4321,.T.); #13702=EDGE_CURVE('',#11105,#11107,#4322,.T.); #13703=EDGE_CURVE('',#11109,#11110,#4323,.T.); #13704=EDGE_CURVE('',#11109,#11111,#4324,.T.); #13705=EDGE_CURVE('',#11112,#11111,#4325,.T.); #13706=EDGE_CURVE('',#11110,#11112,#4326,.T.); #13707=EDGE_CURVE('',#11113,#11114,#4327,.T.); #13708=EDGE_CURVE('',#11113,#11115,#4328,.T.); #13709=EDGE_CURVE('',#11116,#11115,#4329,.T.); #13710=EDGE_CURVE('',#11114,#11116,#4330,.T.); #13711=EDGE_CURVE('',#11114,#11109,#4331,.T.); #13712=EDGE_CURVE('',#11111,#11116,#4332,.T.); #13713=EDGE_CURVE('',#11117,#11118,#10157,.T.); #13714=EDGE_CURVE('',#11118,#11112,#4333,.T.); #13715=EDGE_CURVE('',#11115,#11117,#4334,.T.); #13716=EDGE_CURVE('',#11119,#11120,#4335,.T.); #13717=EDGE_CURVE('',#11119,#11121,#4336,.T.); #13718=EDGE_CURVE('',#11122,#11121,#4337,.T.); #13719=EDGE_CURVE('',#11120,#11122,#4338,.T.); #13720=EDGE_CURVE('',#11123,#11124,#4339,.T.); #13721=EDGE_CURVE('',#11123,#11125,#4340,.T.); #13722=EDGE_CURVE('',#11126,#11125,#4341,.T.); #13723=EDGE_CURVE('',#11124,#11126,#4342,.T.); #13724=EDGE_CURVE('',#11124,#11119,#4343,.T.); #13725=EDGE_CURVE('',#11121,#11126,#4344,.T.); #13726=EDGE_CURVE('',#11127,#11128,#10158,.T.); #13727=EDGE_CURVE('',#11128,#11122,#4345,.T.); #13728=EDGE_CURVE('',#11125,#11127,#4346,.T.); #13729=EDGE_CURVE('',#11129,#11130,#4347,.T.); #13730=EDGE_CURVE('',#11129,#11131,#4348,.T.); #13731=EDGE_CURVE('',#11132,#11131,#4349,.T.); #13732=EDGE_CURVE('',#11130,#11132,#4350,.T.); #13733=EDGE_CURVE('',#11133,#11134,#4351,.T.); #13734=EDGE_CURVE('',#11133,#11135,#4352,.T.); #13735=EDGE_CURVE('',#11136,#11135,#4353,.T.); #13736=EDGE_CURVE('',#11134,#11136,#4354,.T.); #13737=EDGE_CURVE('',#11134,#11129,#4355,.T.); #13738=EDGE_CURVE('',#11131,#11136,#4356,.T.); #13739=EDGE_CURVE('',#11135,#11137,#4357,.T.); #13740=EDGE_CURVE('',#11137,#11138,#10159,.T.); #13741=EDGE_CURVE('',#11138,#11132,#4358,.T.); #13742=EDGE_CURVE('',#11139,#11140,#4359,.T.); #13743=EDGE_CURVE('',#11139,#11141,#4360,.T.); #13744=EDGE_CURVE('',#11142,#11141,#4361,.T.); #13745=EDGE_CURVE('',#11140,#11142,#4362,.T.); #13746=EDGE_CURVE('',#11143,#11144,#4363,.T.); #13747=EDGE_CURVE('',#11143,#11145,#4364,.T.); #13748=EDGE_CURVE('',#11146,#11145,#4365,.T.); #13749=EDGE_CURVE('',#11144,#11146,#4366,.T.); #13750=EDGE_CURVE('',#11144,#11139,#4367,.T.); #13751=EDGE_CURVE('',#11141,#11146,#4368,.T.); #13752=EDGE_CURVE('',#11147,#11148,#10160,.T.); #13753=EDGE_CURVE('',#11148,#11142,#4369,.T.); #13754=EDGE_CURVE('',#11145,#11147,#4370,.T.); #13755=EDGE_CURVE('',#11149,#11150,#4371,.T.); #13756=EDGE_CURVE('',#11149,#11151,#4372,.T.); #13757=EDGE_CURVE('',#11152,#11151,#4373,.T.); #13758=EDGE_CURVE('',#11150,#11152,#4374,.T.); #13759=EDGE_CURVE('',#11153,#11154,#4375,.T.); #13760=EDGE_CURVE('',#11153,#11155,#4376,.T.); #13761=EDGE_CURVE('',#11156,#11155,#4377,.T.); #13762=EDGE_CURVE('',#11154,#11156,#4378,.T.); #13763=EDGE_CURVE('',#11154,#11149,#4379,.T.); #13764=EDGE_CURVE('',#11151,#11156,#4380,.T.); #13765=EDGE_CURVE('',#11155,#11157,#4381,.T.); #13766=EDGE_CURVE('',#11157,#11158,#10161,.T.); #13767=EDGE_CURVE('',#11158,#11152,#4382,.T.); #13768=EDGE_CURVE('',#11159,#11160,#4383,.T.); #13769=EDGE_CURVE('',#11159,#11161,#4384,.T.); #13770=EDGE_CURVE('',#11162,#11161,#4385,.T.); #13771=EDGE_CURVE('',#11160,#11162,#4386,.T.); #13772=EDGE_CURVE('',#11163,#11164,#4387,.T.); #13773=EDGE_CURVE('',#11163,#11165,#4388,.T.); #13774=EDGE_CURVE('',#11166,#11165,#4389,.T.); #13775=EDGE_CURVE('',#11164,#11166,#4390,.T.); #13776=EDGE_CURVE('',#11164,#11159,#4391,.T.); #13777=EDGE_CURVE('',#11161,#11166,#4392,.T.); #13778=EDGE_CURVE('',#11165,#11167,#4393,.T.); #13779=EDGE_CURVE('',#11167,#11168,#10162,.T.); #13780=EDGE_CURVE('',#11168,#11162,#4394,.T.); #13781=EDGE_CURVE('',#11169,#11170,#4395,.T.); #13782=EDGE_CURVE('',#11169,#11171,#4396,.T.); #13783=EDGE_CURVE('',#11172,#11171,#4397,.T.); #13784=EDGE_CURVE('',#11170,#11172,#4398,.T.); #13785=EDGE_CURVE('',#11173,#11174,#4399,.T.); #13786=EDGE_CURVE('',#11173,#11175,#4400,.T.); #13787=EDGE_CURVE('',#11176,#11175,#4401,.T.); #13788=EDGE_CURVE('',#11174,#11176,#4402,.T.); #13789=EDGE_CURVE('',#11174,#11169,#4403,.T.); #13790=EDGE_CURVE('',#11171,#11176,#4404,.T.); #13791=EDGE_CURVE('',#11175,#11177,#4405,.T.); #13792=EDGE_CURVE('',#11177,#11178,#10163,.T.); #13793=EDGE_CURVE('',#11178,#11172,#4406,.T.); #13794=EDGE_CURVE('',#11179,#11180,#4407,.T.); #13795=EDGE_CURVE('',#11179,#11181,#4408,.T.); #13796=EDGE_CURVE('',#11182,#11181,#4409,.T.); #13797=EDGE_CURVE('',#11180,#11182,#4410,.T.); #13798=EDGE_CURVE('',#11183,#11184,#4411,.T.); #13799=EDGE_CURVE('',#11183,#11185,#4412,.T.); #13800=EDGE_CURVE('',#11186,#11185,#4413,.T.); #13801=EDGE_CURVE('',#11184,#11186,#4414,.T.); #13802=EDGE_CURVE('',#11184,#11179,#4415,.T.); #13803=EDGE_CURVE('',#11181,#11186,#4416,.T.); #13804=EDGE_CURVE('',#11185,#11187,#4417,.T.); #13805=EDGE_CURVE('',#11187,#11188,#10164,.T.); #13806=EDGE_CURVE('',#11188,#11182,#4418,.T.); #13807=EDGE_CURVE('',#11189,#11190,#4419,.T.); #13808=EDGE_CURVE('',#11189,#11191,#4420,.T.); #13809=EDGE_CURVE('',#11192,#11191,#4421,.T.); #13810=EDGE_CURVE('',#11190,#11192,#4422,.T.); #13811=EDGE_CURVE('',#11193,#11194,#4423,.T.); #13812=EDGE_CURVE('',#11193,#11195,#4424,.T.); #13813=EDGE_CURVE('',#11196,#11195,#4425,.T.); #13814=EDGE_CURVE('',#11194,#11196,#4426,.T.); #13815=EDGE_CURVE('',#11194,#11189,#4427,.T.); #13816=EDGE_CURVE('',#11191,#11196,#4428,.T.); #13817=EDGE_CURVE('',#11197,#11198,#10165,.T.); #13818=EDGE_CURVE('',#11198,#11192,#4429,.T.); #13819=EDGE_CURVE('',#11195,#11197,#4430,.T.); #13820=EDGE_CURVE('',#10830,#11033,#4431,.T.); #13821=EDGE_CURVE('',#11030,#11153,#4432,.T.); #13822=EDGE_CURVE('',#11150,#11199,#4433,.T.); #13823=EDGE_CURVE('',#11199,#10953,#4434,.T.); #13824=EDGE_CURVE('',#10950,#10823,#4435,.T.); #13825=EDGE_CURVE('',#10820,#11023,#4436,.T.); #13826=EDGE_CURVE('',#11020,#11163,#4437,.T.); #13827=EDGE_CURVE('',#11160,#10963,#4438,.T.); #13828=EDGE_CURVE('',#10960,#10813,#4439,.T.); #13829=EDGE_CURVE('',#10810,#11013,#4440,.T.); #13830=EDGE_CURVE('',#11010,#11173,#4441,.T.); #13831=EDGE_CURVE('',#11170,#10973,#4442,.T.); #13832=EDGE_CURVE('',#10970,#10803,#4443,.T.); #13833=EDGE_CURVE('',#10800,#11003,#4444,.T.); #13834=EDGE_CURVE('',#11000,#11183,#4445,.T.); #13835=EDGE_CURVE('',#11180,#10983,#4446,.T.); #13836=EDGE_CURVE('',#10980,#10793,#4447,.T.); #13837=EDGE_CURVE('',#10790,#10993,#4448,.T.); #13838=EDGE_CURVE('',#10990,#11193,#4449,.T.); #13839=EDGE_CURVE('',#11190,#11200,#4450,.T.); #13840=EDGE_CURVE('',#11200,#11201,#4451,.T.); #13841=EDGE_CURVE('',#11201,#11093,#4452,.T.); #13842=EDGE_CURVE('',#11090,#10893,#4453,.T.); #13843=EDGE_CURVE('',#10890,#10883,#4454,.T.); #13844=EDGE_CURVE('',#10880,#11083,#4455,.T.); #13845=EDGE_CURVE('',#11080,#11103,#4456,.T.); #13846=EDGE_CURVE('',#11100,#10903,#4457,.T.); #13847=EDGE_CURVE('',#10900,#10873,#4458,.T.); #13848=EDGE_CURVE('',#10870,#11073,#4459,.T.); #13849=EDGE_CURVE('',#11070,#11113,#4460,.T.); #13850=EDGE_CURVE('',#11110,#10913,#4461,.T.); #13851=EDGE_CURVE('',#10910,#10863,#4462,.T.); #13852=EDGE_CURVE('',#10860,#11063,#4463,.T.); #13853=EDGE_CURVE('',#11060,#11123,#4464,.T.); #13854=EDGE_CURVE('',#11120,#10923,#4465,.T.); #13855=EDGE_CURVE('',#10920,#10853,#4466,.T.); #13856=EDGE_CURVE('',#10850,#11053,#4467,.T.); #13857=EDGE_CURVE('',#11050,#11202,#4468,.T.); #13858=EDGE_CURVE('',#11202,#11133,#4469,.T.); #13859=EDGE_CURVE('',#11130,#10933,#4470,.T.); #13860=EDGE_CURVE('',#10930,#10843,#4471,.T.); #13861=EDGE_CURVE('',#10840,#11043,#4472,.T.); #13862=EDGE_CURVE('',#11040,#11143,#4473,.T.); #13863=EDGE_CURVE('',#11140,#10943,#4474,.T.); #13864=EDGE_CURVE('',#10940,#10833,#4475,.T.); #13865=EDGE_CURVE('',#11203,#11204,#10166,.T.); #13866=EDGE_CURVE('',#11203,#10938,#4476,.T.); #13867=EDGE_CURVE('',#10937,#11204,#4477,.T.); #13868=EDGE_CURVE('',#11205,#11206,#10167,.T.); #13869=EDGE_CURVE('',#11205,#11138,#4478,.T.); #13870=EDGE_CURVE('',#11137,#11206,#4479,.T.); #13871=EDGE_CURVE('',#11207,#11208,#10168,.T.); #13872=EDGE_CURVE('',#11207,#10848,#4480,.T.); #13873=EDGE_CURVE('',#10847,#11208,#4481,.T.); #13874=EDGE_CURVE('',#11209,#11210,#10169,.T.); #13875=EDGE_CURVE('',#11209,#11148,#4482,.T.); #13876=EDGE_CURVE('',#11147,#11210,#4483,.T.); #13877=EDGE_CURVE('',#11211,#11212,#10170,.T.); #13878=EDGE_CURVE('',#11211,#10948,#4484,.T.); #13879=EDGE_CURVE('',#10947,#11212,#4485,.T.); #13880=EDGE_CURVE('',#11213,#11214,#10171,.T.); #13881=EDGE_CURVE('',#11213,#11048,#4486,.T.); #13882=EDGE_CURVE('',#11047,#11214,#4487,.T.); #13883=EDGE_CURVE('',#11215,#11216,#10172,.T.); #13884=EDGE_CURVE('',#11215,#11038,#4488,.T.); #13885=EDGE_CURVE('',#11037,#11216,#4489,.T.); #13886=EDGE_CURVE('',#11217,#11218,#10173,.T.); #13887=EDGE_CURVE('',#11217,#11158,#4490,.T.); #13888=EDGE_CURVE('',#11157,#11218,#4491,.T.); #13889=EDGE_CURVE('',#11219,#11220,#10174,.T.); #13890=EDGE_CURVE('',#11219,#10838,#4492,.T.); #13891=EDGE_CURVE('',#10837,#11220,#4493,.T.); #13892=EDGE_CURVE('',#11205,#11204,#4494,.T.); #13893=EDGE_CURVE('',#11203,#11208,#4495,.T.); #13894=EDGE_CURVE('',#11207,#11214,#4496,.T.); #13895=EDGE_CURVE('',#11213,#11210,#4497,.T.); #13896=EDGE_CURVE('',#11209,#11212,#4498,.T.); #13897=EDGE_CURVE('',#11211,#11220,#4499,.T.); #13898=EDGE_CURVE('',#11219,#11216,#4500,.T.); #13899=EDGE_CURVE('',#11215,#11218,#4501,.T.); #13900=EDGE_CURVE('',#11217,#11221,#4502,.T.); #13901=EDGE_CURVE('',#11221,#11199,#4503,.T.); #13902=EDGE_CURVE('',#11222,#11206,#4504,.T.); #13903=EDGE_CURVE('',#11222,#11223,#4505,.T.); #13904=EDGE_CURVE('',#11224,#11223,#4506,.T.); #13905=EDGE_CURVE('',#11225,#11224,#4507,.T.); #13906=EDGE_CURVE('',#11226,#11225,#4508,.T.); #13907=EDGE_CURVE('',#11226,#11227,#4509,.T.); #13908=EDGE_CURVE('',#11228,#11227,#4510,.T.); #13909=EDGE_CURVE('',#11229,#11228,#4511,.T.); #13910=EDGE_CURVE('',#11230,#11229,#4512,.T.); #13911=EDGE_CURVE('',#11230,#11231,#4513,.T.); #13912=EDGE_CURVE('',#11232,#11231,#4514,.T.); #13913=EDGE_CURVE('',#11233,#11232,#4515,.T.); #13914=EDGE_CURVE('',#11234,#11233,#4516,.T.); #13915=EDGE_CURVE('',#11234,#11235,#4517,.T.); #13916=EDGE_CURVE('',#11236,#11235,#4518,.T.); #13917=EDGE_CURVE('',#11237,#11236,#4519,.T.); #13918=EDGE_CURVE('',#11238,#11237,#4520,.T.); #13919=EDGE_CURVE('',#11238,#11239,#4521,.T.); #13920=EDGE_CURVE('',#11240,#11239,#4522,.T.); #13921=EDGE_CURVE('',#11241,#11240,#4523,.T.); #13922=EDGE_CURVE('',#11242,#11241,#4524,.T.); #13923=EDGE_CURVE('',#11242,#11243,#4525,.T.); #13924=EDGE_CURVE('',#11244,#11243,#4526,.T.); #13925=EDGE_CURVE('',#11245,#11244,#4527,.T.); #13926=EDGE_CURVE('',#11246,#11245,#4528,.T.); #13927=EDGE_CURVE('',#11246,#11247,#4529,.T.); #13928=EDGE_CURVE('',#11248,#11247,#4530,.T.); #13929=EDGE_CURVE('',#11249,#11248,#4531,.T.); #13930=EDGE_CURVE('',#11250,#11249,#4532,.T.); #13931=EDGE_CURVE('',#11250,#11251,#4533,.T.); #13932=EDGE_CURVE('',#11252,#11251,#4534,.T.); #13933=EDGE_CURVE('',#11253,#11252,#4535,.T.); #13934=EDGE_CURVE('',#11254,#11253,#4536,.T.); #13935=EDGE_CURVE('',#11254,#11255,#4537,.T.); #13936=EDGE_CURVE('',#11256,#11255,#4538,.T.); #13937=EDGE_CURVE('',#11257,#11256,#4539,.T.); #13938=EDGE_CURVE('',#11258,#11257,#4540,.T.); #13939=EDGE_CURVE('',#11258,#11259,#4541,.T.); #13940=EDGE_CURVE('',#11260,#11259,#4542,.T.); #13941=EDGE_CURVE('',#11261,#11260,#4543,.T.); #13942=EDGE_CURVE('',#11262,#11261,#4544,.T.); #13943=EDGE_CURVE('',#11262,#11263,#4545,.T.); #13944=EDGE_CURVE('',#11264,#11263,#4546,.T.); #13945=EDGE_CURVE('',#11265,#11264,#4547,.T.); #13946=EDGE_CURVE('',#11266,#11265,#4548,.T.); #13947=EDGE_CURVE('',#11266,#11267,#4549,.T.); #13948=EDGE_CURVE('',#11268,#11267,#4550,.T.); #13949=EDGE_CURVE('',#11269,#11268,#4551,.T.); #13950=EDGE_CURVE('',#11270,#11269,#4552,.T.); #13951=EDGE_CURVE('',#11270,#11271,#4553,.T.); #13952=EDGE_CURVE('',#11272,#11271,#4554,.T.); #13953=EDGE_CURVE('',#11273,#11272,#4555,.T.); #13954=EDGE_CURVE('',#11274,#11273,#4556,.T.); #13955=EDGE_CURVE('',#11274,#11275,#4557,.T.); #13956=EDGE_CURVE('',#11276,#11275,#4558,.T.); #13957=EDGE_CURVE('',#11277,#11276,#4559,.T.); #13958=EDGE_CURVE('',#11278,#11277,#4560,.T.); #13959=EDGE_CURVE('',#11278,#11279,#4561,.T.); #13960=EDGE_CURVE('',#11280,#11279,#4562,.T.); #13961=EDGE_CURVE('',#11281,#11280,#4563,.T.); #13962=EDGE_CURVE('',#11282,#11281,#4564,.T.); #13963=EDGE_CURVE('',#11282,#11283,#4565,.T.); #13964=EDGE_CURVE('',#11284,#11283,#4566,.T.); #13965=EDGE_CURVE('',#11285,#11284,#4567,.T.); #13966=EDGE_CURVE('',#11286,#11285,#4568,.T.); #13967=EDGE_CURVE('',#11286,#11287,#4569,.T.); #13968=EDGE_CURVE('',#11287,#11288,#4570,.T.); #13969=EDGE_CURVE('',#11288,#11289,#4571,.T.); #13970=EDGE_CURVE('',#11290,#11289,#4572,.T.); #13971=EDGE_CURVE('',#11291,#11290,#4573,.T.); #13972=EDGE_CURVE('',#11292,#11291,#4574,.T.); #13973=EDGE_CURVE('',#11292,#11293,#4575,.T.); #13974=EDGE_CURVE('',#11294,#11293,#4576,.T.); #13975=EDGE_CURVE('',#11295,#11294,#4577,.T.); #13976=EDGE_CURVE('',#11296,#11295,#4578,.T.); #13977=EDGE_CURVE('',#11296,#11297,#4579,.T.); #13978=EDGE_CURVE('',#11298,#11297,#4580,.T.); #13979=EDGE_CURVE('',#11299,#11298,#4581,.T.); #13980=EDGE_CURVE('',#11300,#11299,#4582,.T.); #13981=EDGE_CURVE('',#11300,#11301,#4583,.T.); #13982=EDGE_CURVE('',#11302,#11301,#4584,.T.); #13983=EDGE_CURVE('',#11303,#11302,#4585,.T.); #13984=EDGE_CURVE('',#11304,#11303,#4586,.T.); #13985=EDGE_CURVE('',#11304,#11305,#4587,.T.); #13986=EDGE_CURVE('',#11306,#11305,#4588,.T.); #13987=EDGE_CURVE('',#11307,#11306,#4589,.T.); #13988=EDGE_CURVE('',#11308,#11307,#4590,.T.); #13989=EDGE_CURVE('',#11308,#11309,#4591,.T.); #13990=EDGE_CURVE('',#11310,#11309,#4592,.T.); #13991=EDGE_CURVE('',#11311,#11310,#4593,.T.); #13992=EDGE_CURVE('',#11312,#11311,#4594,.T.); #13993=EDGE_CURVE('',#11312,#11313,#4595,.T.); #13994=EDGE_CURVE('',#11314,#11313,#4596,.T.); #13995=EDGE_CURVE('',#11315,#11314,#4597,.T.); #13996=EDGE_CURVE('',#11316,#11315,#4598,.T.); #13997=EDGE_CURVE('',#11316,#11317,#4599,.T.); #13998=EDGE_CURVE('',#11318,#11317,#4600,.T.); #13999=EDGE_CURVE('',#11319,#11318,#4601,.T.); #14000=EDGE_CURVE('',#11320,#11319,#4602,.T.); #14001=EDGE_CURVE('',#11320,#11321,#4603,.T.); #14002=EDGE_CURVE('',#11322,#11321,#4604,.T.); #14003=EDGE_CURVE('',#11323,#11322,#4605,.T.); #14004=EDGE_CURVE('',#11324,#11323,#4606,.T.); #14005=EDGE_CURVE('',#11324,#11325,#4607,.T.); #14006=EDGE_CURVE('',#11326,#11325,#4608,.T.); #14007=EDGE_CURVE('',#11327,#11326,#4609,.T.); #14008=EDGE_CURVE('',#11328,#11327,#4610,.T.); #14009=EDGE_CURVE('',#11328,#11329,#4611,.T.); #14010=EDGE_CURVE('',#11330,#11329,#4612,.T.); #14011=EDGE_CURVE('',#11331,#11330,#4613,.T.); #14012=EDGE_CURVE('',#11332,#11331,#4614,.T.); #14013=EDGE_CURVE('',#11332,#11333,#4615,.T.); #14014=EDGE_CURVE('',#11334,#11333,#4616,.T.); #14015=EDGE_CURVE('',#11335,#11334,#4617,.T.); #14016=EDGE_CURVE('',#11336,#11335,#4618,.T.); #14017=EDGE_CURVE('',#11336,#11337,#4619,.T.); #14018=EDGE_CURVE('',#11338,#11337,#4620,.T.); #14019=EDGE_CURVE('',#11339,#11338,#4621,.T.); #14020=EDGE_CURVE('',#11340,#11339,#4622,.T.); #14021=EDGE_CURVE('',#11340,#11341,#4623,.T.); #14022=EDGE_CURVE('',#11342,#11341,#4624,.T.); #14023=EDGE_CURVE('',#11343,#11342,#4625,.T.); #14024=EDGE_CURVE('',#11344,#11343,#4626,.T.); #14025=EDGE_CURVE('',#11344,#11345,#4627,.T.); #14026=EDGE_CURVE('',#11346,#11345,#4628,.T.); #14027=EDGE_CURVE('',#11347,#11346,#4629,.T.); #14028=EDGE_CURVE('',#11348,#11347,#4630,.T.); #14029=EDGE_CURVE('',#11348,#11349,#4631,.T.); #14030=EDGE_CURVE('',#11350,#11349,#4632,.T.); #14031=EDGE_CURVE('',#11351,#11350,#4633,.T.); #14032=EDGE_CURVE('',#11352,#11351,#4634,.T.); #14033=EDGE_CURVE('',#11352,#11221,#4635,.T.); #14034=EDGE_CURVE('',#11353,#11354,#4636,.T.); #14035=EDGE_CURVE('',#11355,#11353,#4637,.T.); #14036=EDGE_CURVE('',#11356,#11355,#4638,.T.); #14037=EDGE_CURVE('',#11354,#11356,#4639,.T.); #14038=EDGE_CURVE('',#10808,#11357,#4640,.T.); #14039=EDGE_CURVE('',#11358,#11357,#4641,.T.); #14040=EDGE_CURVE('',#11358,#11313,#4642,.T.); #14041=EDGE_CURVE('',#11359,#11312,#4643,.T.); #14042=EDGE_CURVE('',#11360,#11359,#4644,.T.); #14043=EDGE_CURVE('',#11360,#11007,#4645,.T.); #14044=EDGE_CURVE('',#11008,#11361,#4646,.T.); #14045=EDGE_CURVE('',#11362,#11361,#4647,.T.); #14046=EDGE_CURVE('',#11362,#11309,#4648,.T.); #14047=EDGE_CURVE('',#11363,#11308,#4649,.T.); #14048=EDGE_CURVE('',#11364,#11363,#4650,.T.); #14049=EDGE_CURVE('',#11364,#11187,#4651,.T.); #14050=EDGE_CURVE('',#11178,#11365,#4652,.T.); #14051=EDGE_CURVE('',#11366,#11365,#4653,.T.); #14052=EDGE_CURVE('',#11366,#11321,#4654,.T.); #14053=EDGE_CURVE('',#11367,#11320,#4655,.T.); #14054=EDGE_CURVE('',#11368,#11367,#4656,.T.); #14055=EDGE_CURVE('',#11368,#10977,#4657,.T.); #14056=EDGE_CURVE('',#11369,#11370,#4658,.T.); #14057=EDGE_CURVE('',#11369,#10807,#4659,.T.); #14058=EDGE_CURVE('',#10978,#11371,#4660,.T.); #14059=EDGE_CURVE('',#11372,#11371,#4661,.T.); #14060=EDGE_CURVE('',#11372,#11317,#4662,.T.); #14061=EDGE_CURVE('',#11370,#11316,#4663,.T.); #14062=EDGE_CURVE('',#10798,#11373,#4664,.T.); #14063=EDGE_CURVE('',#11374,#11373,#4665,.T.); #14064=EDGE_CURVE('',#11374,#11297,#4666,.T.); #14065=EDGE_CURVE('',#11375,#11296,#4667,.T.); #14066=EDGE_CURVE('',#11376,#11375,#4668,.T.); #14067=EDGE_CURVE('',#11376,#10997,#4669,.T.); #14068=EDGE_CURVE('',#10998,#11377,#4670,.T.); #14069=EDGE_CURVE('',#11378,#11377,#4671,.T.); #14070=EDGE_CURVE('',#11378,#11293,#4672,.T.); #14071=EDGE_CURVE('',#11379,#11292,#4673,.T.); #14072=EDGE_CURVE('',#11380,#11379,#4674,.T.); #14073=EDGE_CURVE('',#11380,#11197,#4675,.T.); #14074=EDGE_CURVE('',#11188,#11381,#4676,.T.); #14075=EDGE_CURVE('',#11382,#11381,#4677,.T.); #14076=EDGE_CURVE('',#11382,#11305,#4678,.T.); #14077=EDGE_CURVE('',#11383,#11304,#4679,.T.); #14078=EDGE_CURVE('',#11384,#11383,#4680,.T.); #14079=EDGE_CURVE('',#11384,#10987,#4681,.T.); #14080=EDGE_CURVE('',#11385,#11386,#4682,.T.); #14081=EDGE_CURVE('',#11385,#10797,#4683,.T.); #14082=EDGE_CURVE('',#10988,#11387,#4684,.T.); #14083=EDGE_CURVE('',#11388,#11387,#4685,.T.); #14084=EDGE_CURVE('',#11388,#11301,#4686,.T.); #14085=EDGE_CURVE('',#11386,#11300,#4687,.T.); #14086=EDGE_CURVE('',#10828,#11389,#4688,.T.); #14087=EDGE_CURVE('',#11390,#11389,#4689,.T.); #14088=EDGE_CURVE('',#11390,#11345,#4690,.T.); #14089=EDGE_CURVE('',#11391,#11344,#4691,.T.); #14090=EDGE_CURVE('',#11392,#11391,#4692,.T.); #14091=EDGE_CURVE('',#11392,#11027,#4693,.T.); #14092=EDGE_CURVE('',#11028,#11393,#4694,.T.); #14093=EDGE_CURVE('',#11394,#11393,#4695,.T.); #14094=EDGE_CURVE('',#11394,#11341,#4696,.T.); #14095=EDGE_CURVE('',#11395,#11340,#4697,.T.); #14096=EDGE_CURVE('',#11396,#11395,#4698,.T.); #14097=EDGE_CURVE('',#11396,#11167,#4699,.T.); #14098=EDGE_CURVE('',#11397,#11398,#4700,.T.); #14099=EDGE_CURVE('',#11397,#10827,#4701,.T.); #14100=EDGE_CURVE('',#10958,#11399,#4702,.T.); #14101=EDGE_CURVE('',#11400,#11399,#4703,.T.); #14102=EDGE_CURVE('',#11400,#11349,#4704,.T.); #14103=EDGE_CURVE('',#11398,#11348,#4705,.T.); #14104=EDGE_CURVE('',#10818,#11401,#4706,.T.); #14105=EDGE_CURVE('',#11402,#11401,#4707,.T.); #14106=EDGE_CURVE('',#11402,#11329,#4708,.T.); #14107=EDGE_CURVE('',#11403,#11328,#4709,.T.); #14108=EDGE_CURVE('',#11404,#11403,#4710,.T.); #14109=EDGE_CURVE('',#11404,#11017,#4711,.T.); #14110=EDGE_CURVE('',#11405,#11406,#4712,.T.); #14111=EDGE_CURVE('',#11405,#11177,#4713,.T.); #14112=EDGE_CURVE('',#11018,#11407,#4714,.T.); #14113=EDGE_CURVE('',#11408,#11407,#4715,.T.); #14114=EDGE_CURVE('',#11408,#11325,#4716,.T.); #14115=EDGE_CURVE('',#11406,#11324,#4717,.T.); #14116=EDGE_CURVE('',#11168,#11409,#4718,.T.); #14117=EDGE_CURVE('',#11410,#11409,#4719,.T.); #14118=EDGE_CURVE('',#11410,#11337,#4720,.T.); #14119=EDGE_CURVE('',#11411,#11336,#4721,.T.); #14120=EDGE_CURVE('',#11412,#11411,#4722,.T.); #14121=EDGE_CURVE('',#11412,#10967,#4723,.T.); #14122=EDGE_CURVE('',#11413,#11414,#4724,.T.); #14123=EDGE_CURVE('',#11413,#10817,#4725,.T.); #14124=EDGE_CURVE('',#10968,#11415,#4726,.T.); #14125=EDGE_CURVE('',#11416,#11415,#4727,.T.); #14126=EDGE_CURVE('',#11416,#11333,#4728,.T.); #14127=EDGE_CURVE('',#11414,#11332,#4729,.T.); #14128=EDGE_CURVE('',#11198,#11417,#4730,.T.); #14129=EDGE_CURVE('',#11418,#11417,#4731,.T.); #14130=EDGE_CURVE('',#11418,#11289,#4732,.T.); #14131=EDGE_CURVE('',#11288,#11200,#4733,.T.); #14132=EDGE_CURVE('',#10908,#11419,#4734,.T.); #14133=EDGE_CURVE('',#11420,#11419,#4735,.T.); #14134=EDGE_CURVE('',#11420,#11263,#4736,.T.); #14135=EDGE_CURVE('',#11421,#11262,#4737,.T.); #14136=EDGE_CURVE('',#11422,#11421,#4738,.T.); #14137=EDGE_CURVE('',#11422,#10877,#4739,.T.); #14138=EDGE_CURVE('',#11423,#11424,#4740,.T.); #14139=EDGE_CURVE('',#11423,#10907,#4741,.T.); #14140=EDGE_CURVE('',#11108,#11425,#4742,.T.); #14141=EDGE_CURVE('',#11426,#11425,#4743,.T.); #14142=EDGE_CURVE('',#11426,#11267,#4744,.T.); #14143=EDGE_CURVE('',#11424,#11266,#4745,.T.); #14144=EDGE_CURVE('',#11078,#11427,#4746,.T.); #14145=EDGE_CURVE('',#11428,#11427,#4747,.T.); #14146=EDGE_CURVE('',#11428,#11255,#4748,.T.); #14147=EDGE_CURVE('',#11429,#11254,#4749,.T.); #14148=EDGE_CURVE('',#11430,#11429,#4750,.T.); #14149=EDGE_CURVE('',#11430,#11117,#4751,.T.); #14150=EDGE_CURVE('',#11431,#11432,#4752,.T.); #14151=EDGE_CURVE('',#11431,#11077,#4753,.T.); #14152=EDGE_CURVE('',#10878,#11433,#4754,.T.); #14153=EDGE_CURVE('',#11434,#11433,#4755,.T.); #14154=EDGE_CURVE('',#11434,#11259,#4756,.T.); #14155=EDGE_CURVE('',#11432,#11258,#4757,.T.); #14156=EDGE_CURVE('',#10898,#11435,#4758,.T.); #14157=EDGE_CURVE('',#11436,#11435,#4759,.T.); #14158=EDGE_CURVE('',#11436,#11279,#4760,.T.); #14159=EDGE_CURVE('',#11437,#11278,#4761,.T.); #14160=EDGE_CURVE('',#11438,#11437,#4762,.T.); #14161=EDGE_CURVE('',#11438,#10887,#4763,.T.); #14162=EDGE_CURVE('',#11439,#11440,#4764,.T.); #14163=EDGE_CURVE('',#11439,#10897,#4765,.T.); #14164=EDGE_CURVE('',#11098,#11441,#4766,.T.); #14165=EDGE_CURVE('',#11442,#11441,#4767,.T.); #14166=EDGE_CURVE('',#11442,#11283,#4768,.T.); #14167=EDGE_CURVE('',#11440,#11282,#4769,.T.); #14168=EDGE_CURVE('',#11443,#11444,#4770,.T.); #14169=EDGE_CURVE('',#11443,#11107,#4771,.T.); #14170=EDGE_CURVE('',#11088,#11445,#4772,.T.); #14171=EDGE_CURVE('',#11446,#11445,#4773,.T.); #14172=EDGE_CURVE('',#11446,#11271,#4774,.T.); #14173=EDGE_CURVE('',#11444,#11270,#4775,.T.); #14174=EDGE_CURVE('',#11447,#11448,#4776,.T.); #14175=EDGE_CURVE('',#11447,#11087,#4777,.T.); #14176=EDGE_CURVE('',#10888,#11449,#4778,.T.); #14177=EDGE_CURVE('',#11450,#11449,#4779,.T.); #14178=EDGE_CURVE('',#11450,#11275,#4780,.T.); #14179=EDGE_CURVE('',#11448,#11274,#4781,.T.); #14180=EDGE_CURVE('',#10928,#11451,#4782,.T.); #14181=EDGE_CURVE('',#11452,#11451,#4783,.T.); #14182=EDGE_CURVE('',#11452,#11231,#4784,.T.); #14183=EDGE_CURVE('',#11453,#11230,#4785,.T.); #14184=EDGE_CURVE('',#11454,#11453,#4786,.T.); #14185=EDGE_CURVE('',#11454,#10857,#4787,.T.); #14186=EDGE_CURVE('',#11455,#11456,#4788,.T.); #14187=EDGE_CURVE('',#11455,#10927,#4789,.T.); #14188=EDGE_CURVE('',#11128,#11457,#4790,.T.); #14189=EDGE_CURVE('',#11458,#11457,#4791,.T.); #14190=EDGE_CURVE('',#11458,#11235,#4792,.T.); #14191=EDGE_CURVE('',#11456,#11234,#4793,.T.); #14192=EDGE_CURVE('',#11058,#11459,#4794,.T.); #14193=EDGE_CURVE('',#11460,#11459,#4795,.T.); #14194=EDGE_CURVE('',#11460,#11223,#4796,.T.); #14195=EDGE_CURVE('',#11222,#11202,#4797,.T.); #14196=EDGE_CURVE('',#11461,#11462,#4798,.T.); #14197=EDGE_CURVE('',#11461,#11057,#4799,.T.); #14198=EDGE_CURVE('',#10858,#11463,#4800,.T.); #14199=EDGE_CURVE('',#11464,#11463,#4801,.T.); #14200=EDGE_CURVE('',#11464,#11227,#4802,.T.); #14201=EDGE_CURVE('',#11462,#11226,#4803,.T.); #14202=EDGE_CURVE('',#10918,#11465,#4804,.T.); #14203=EDGE_CURVE('',#11466,#11465,#4805,.T.); #14204=EDGE_CURVE('',#11466,#11247,#4806,.T.); #14205=EDGE_CURVE('',#11467,#11246,#4807,.T.); #14206=EDGE_CURVE('',#11468,#11467,#4808,.T.); #14207=EDGE_CURVE('',#11468,#10867,#4809,.T.); #14208=EDGE_CURVE('',#11469,#11470,#4810,.T.); #14209=EDGE_CURVE('',#11469,#10917,#4811,.T.); #14210=EDGE_CURVE('',#11118,#11471,#4812,.T.); #14211=EDGE_CURVE('',#11472,#11471,#4813,.T.); #14212=EDGE_CURVE('',#11472,#11251,#4814,.T.); #14213=EDGE_CURVE('',#11470,#11250,#4815,.T.); #14214=EDGE_CURVE('',#11473,#11474,#4816,.T.); #14215=EDGE_CURVE('',#11473,#11127,#4817,.T.); #14216=EDGE_CURVE('',#11068,#11475,#4818,.T.); #14217=EDGE_CURVE('',#11476,#11475,#4819,.T.); #14218=EDGE_CURVE('',#11476,#11239,#4820,.T.); #14219=EDGE_CURVE('',#11474,#11238,#4821,.T.); #14220=EDGE_CURVE('',#11477,#11478,#4822,.T.); #14221=EDGE_CURVE('',#11477,#11067,#4823,.T.); #14222=EDGE_CURVE('',#10868,#11479,#4824,.T.); #14223=EDGE_CURVE('',#11480,#11479,#4825,.T.); #14224=EDGE_CURVE('',#11480,#11243,#4826,.T.); #14225=EDGE_CURVE('',#11478,#11242,#4827,.T.); #14226=EDGE_CURVE('',#11287,#11201,#4828,.T.); #14227=EDGE_CURVE('',#11481,#11482,#4829,.T.); #14228=EDGE_CURVE('',#11481,#10957,#4830,.T.); #14229=EDGE_CURVE('',#11482,#11352,#4831,.T.); #14230=EDGE_CURVE('',#11483,#11484,#4832,.T.); #14231=EDGE_CURVE('',#11483,#11097,#4833,.T.); #14232=EDGE_CURVE('',#11484,#11286,#4834,.T.); #14233=EDGE_CURVE('',#11485,#11418,#4835,.T.); #14234=EDGE_CURVE('',#11485,#11290,#4836,.T.); #14235=EDGE_CURVE('',#11486,#11291,#4837,.T.); #14236=EDGE_CURVE('',#11379,#11486,#4838,.T.); #14237=EDGE_CURVE('',#11486,#11485,#4839,.T.); #14238=EDGE_CURVE('',#11380,#11417,#10175,.T.); #14239=EDGE_CURVE('',#11487,#11285,#4840,.T.); #14240=EDGE_CURVE('',#11484,#11487,#4841,.T.); #14241=EDGE_CURVE('',#11488,#11284,#4842,.T.); #14242=EDGE_CURVE('',#11487,#11488,#4843,.T.); #14243=EDGE_CURVE('',#11488,#11442,#4844,.T.); #14244=EDGE_CURVE('',#11483,#11441,#10176,.T.); #14245=EDGE_CURVE('',#11489,#11295,#4845,.T.); #14246=EDGE_CURVE('',#11375,#11489,#4846,.T.); #14247=EDGE_CURVE('',#11490,#11294,#4847,.T.); #14248=EDGE_CURVE('',#11489,#11490,#4848,.T.); #14249=EDGE_CURVE('',#11490,#11378,#4849,.T.); #14250=EDGE_CURVE('',#11376,#11377,#10177,.T.); #14251=EDGE_CURVE('',#11491,#11281,#4850,.T.); #14252=EDGE_CURVE('',#11440,#11491,#4851,.T.); #14253=EDGE_CURVE('',#11492,#11280,#4852,.T.); #14254=EDGE_CURVE('',#11491,#11492,#4853,.T.); #14255=EDGE_CURVE('',#11492,#11436,#4854,.T.); #14256=EDGE_CURVE('',#11439,#11435,#10178,.T.); #14257=EDGE_CURVE('',#11493,#11299,#4855,.T.); #14258=EDGE_CURVE('',#11386,#11493,#4856,.T.); #14259=EDGE_CURVE('',#11494,#11298,#4857,.T.); #14260=EDGE_CURVE('',#11493,#11494,#4858,.T.); #14261=EDGE_CURVE('',#11494,#11374,#4859,.T.); #14262=EDGE_CURVE('',#11385,#11373,#10179,.T.); #14263=EDGE_CURVE('',#11495,#11277,#4860,.T.); #14264=EDGE_CURVE('',#11437,#11495,#4861,.T.); #14265=EDGE_CURVE('',#11496,#11276,#4862,.T.); #14266=EDGE_CURVE('',#11495,#11496,#4863,.T.); #14267=EDGE_CURVE('',#11496,#11450,#4864,.T.); #14268=EDGE_CURVE('',#11438,#11449,#10180,.T.); #14269=EDGE_CURVE('',#11497,#11303,#4865,.T.); #14270=EDGE_CURVE('',#11383,#11497,#4866,.T.); #14271=EDGE_CURVE('',#11498,#11302,#4867,.T.); #14272=EDGE_CURVE('',#11497,#11498,#4868,.T.); #14273=EDGE_CURVE('',#11498,#11388,#4869,.T.); #14274=EDGE_CURVE('',#11384,#11387,#10181,.T.); #14275=EDGE_CURVE('',#11499,#11273,#4870,.T.); #14276=EDGE_CURVE('',#11448,#11499,#4871,.T.); #14277=EDGE_CURVE('',#11500,#11272,#4872,.T.); #14278=EDGE_CURVE('',#11499,#11500,#4873,.T.); #14279=EDGE_CURVE('',#11500,#11446,#4874,.T.); #14280=EDGE_CURVE('',#11447,#11445,#10182,.T.); #14281=EDGE_CURVE('',#11501,#11307,#4875,.T.); #14282=EDGE_CURVE('',#11363,#11501,#4876,.T.); #14283=EDGE_CURVE('',#11502,#11306,#4877,.T.); #14284=EDGE_CURVE('',#11501,#11502,#4878,.T.); #14285=EDGE_CURVE('',#11502,#11382,#4879,.T.); #14286=EDGE_CURVE('',#11364,#11381,#10183,.T.); #14287=EDGE_CURVE('',#11503,#11269,#4880,.T.); #14288=EDGE_CURVE('',#11444,#11503,#4881,.T.); #14289=EDGE_CURVE('',#11504,#11268,#4882,.T.); #14290=EDGE_CURVE('',#11503,#11504,#4883,.T.); #14291=EDGE_CURVE('',#11504,#11426,#4884,.T.); #14292=EDGE_CURVE('',#11443,#11425,#10184,.T.); #14293=EDGE_CURVE('',#11505,#11311,#4885,.T.); #14294=EDGE_CURVE('',#11359,#11505,#4886,.T.); #14295=EDGE_CURVE('',#11506,#11310,#4887,.T.); #14296=EDGE_CURVE('',#11505,#11506,#4888,.T.); #14297=EDGE_CURVE('',#11506,#11362,#4889,.T.); #14298=EDGE_CURVE('',#11360,#11361,#10185,.T.); #14299=EDGE_CURVE('',#11507,#11265,#4890,.T.); #14300=EDGE_CURVE('',#11424,#11507,#4891,.T.); #14301=EDGE_CURVE('',#11508,#11264,#4892,.T.); #14302=EDGE_CURVE('',#11507,#11508,#4893,.T.); #14303=EDGE_CURVE('',#11508,#11420,#4894,.T.); #14304=EDGE_CURVE('',#11423,#11419,#10186,.T.); #14305=EDGE_CURVE('',#11509,#11315,#4895,.T.); #14306=EDGE_CURVE('',#11370,#11509,#4896,.T.); #14307=EDGE_CURVE('',#11510,#11314,#4897,.T.); #14308=EDGE_CURVE('',#11509,#11510,#4898,.T.); #14309=EDGE_CURVE('',#11510,#11358,#4899,.T.); #14310=EDGE_CURVE('',#11369,#11357,#10187,.T.); #14311=EDGE_CURVE('',#11511,#11261,#4900,.T.); #14312=EDGE_CURVE('',#11421,#11511,#4901,.T.); #14313=EDGE_CURVE('',#11512,#11260,#4902,.T.); #14314=EDGE_CURVE('',#11511,#11512,#4903,.T.); #14315=EDGE_CURVE('',#11512,#11434,#4904,.T.); #14316=EDGE_CURVE('',#11422,#11433,#10188,.T.); #14317=EDGE_CURVE('',#11513,#11319,#4905,.T.); #14318=EDGE_CURVE('',#11367,#11513,#4906,.T.); #14319=EDGE_CURVE('',#11514,#11318,#4907,.T.); #14320=EDGE_CURVE('',#11513,#11514,#4908,.T.); #14321=EDGE_CURVE('',#11514,#11372,#4909,.T.); #14322=EDGE_CURVE('',#11368,#11371,#10189,.T.); #14323=EDGE_CURVE('',#11515,#11257,#4910,.T.); #14324=EDGE_CURVE('',#11432,#11515,#4911,.T.); #14325=EDGE_CURVE('',#11516,#11256,#4912,.T.); #14326=EDGE_CURVE('',#11515,#11516,#4913,.T.); #14327=EDGE_CURVE('',#11516,#11428,#4914,.T.); #14328=EDGE_CURVE('',#11431,#11427,#10190,.T.); #14329=EDGE_CURVE('',#11517,#11323,#4915,.T.); #14330=EDGE_CURVE('',#11406,#11517,#4916,.T.); #14331=EDGE_CURVE('',#11518,#11322,#4917,.T.); #14332=EDGE_CURVE('',#11517,#11518,#4918,.T.); #14333=EDGE_CURVE('',#11518,#11366,#4919,.T.); #14334=EDGE_CURVE('',#11405,#11365,#10191,.T.); #14335=EDGE_CURVE('',#11519,#11253,#4920,.T.); #14336=EDGE_CURVE('',#11429,#11519,#4921,.T.); #14337=EDGE_CURVE('',#11520,#11252,#4922,.T.); #14338=EDGE_CURVE('',#11519,#11520,#4923,.T.); #14339=EDGE_CURVE('',#11520,#11472,#4924,.T.); #14340=EDGE_CURVE('',#11430,#11471,#10192,.T.); #14341=EDGE_CURVE('',#11521,#11327,#4925,.T.); #14342=EDGE_CURVE('',#11403,#11521,#4926,.T.); #14343=EDGE_CURVE('',#11522,#11326,#4927,.T.); #14344=EDGE_CURVE('',#11521,#11522,#4928,.T.); #14345=EDGE_CURVE('',#11522,#11408,#4929,.T.); #14346=EDGE_CURVE('',#11404,#11407,#10193,.T.); #14347=EDGE_CURVE('',#11523,#11249,#4930,.T.); #14348=EDGE_CURVE('',#11470,#11523,#4931,.T.); #14349=EDGE_CURVE('',#11524,#11248,#4932,.T.); #14350=EDGE_CURVE('',#11523,#11524,#4933,.T.); #14351=EDGE_CURVE('',#11524,#11466,#4934,.T.); #14352=EDGE_CURVE('',#11469,#11465,#10194,.T.); #14353=EDGE_CURVE('',#11525,#11331,#4935,.T.); #14354=EDGE_CURVE('',#11414,#11525,#4936,.T.); #14355=EDGE_CURVE('',#11526,#11330,#4937,.T.); #14356=EDGE_CURVE('',#11525,#11526,#4938,.T.); #14357=EDGE_CURVE('',#11526,#11402,#4939,.T.); #14358=EDGE_CURVE('',#11413,#11401,#10195,.T.); #14359=EDGE_CURVE('',#11527,#11245,#4940,.T.); #14360=EDGE_CURVE('',#11467,#11527,#4941,.T.); #14361=EDGE_CURVE('',#11528,#11244,#4942,.T.); #14362=EDGE_CURVE('',#11527,#11528,#4943,.T.); #14363=EDGE_CURVE('',#11528,#11480,#4944,.T.); #14364=EDGE_CURVE('',#11468,#11479,#10196,.T.); #14365=EDGE_CURVE('',#11529,#11335,#4945,.T.); #14366=EDGE_CURVE('',#11411,#11529,#4946,.T.); #14367=EDGE_CURVE('',#11530,#11334,#4947,.T.); #14368=EDGE_CURVE('',#11529,#11530,#4948,.T.); #14369=EDGE_CURVE('',#11530,#11416,#4949,.T.); #14370=EDGE_CURVE('',#11412,#11415,#10197,.T.); #14371=EDGE_CURVE('',#11531,#11241,#4950,.T.); #14372=EDGE_CURVE('',#11478,#11531,#4951,.T.); #14373=EDGE_CURVE('',#11532,#11240,#4952,.T.); #14374=EDGE_CURVE('',#11531,#11532,#4953,.T.); #14375=EDGE_CURVE('',#11532,#11476,#4954,.T.); #14376=EDGE_CURVE('',#11477,#11475,#10198,.T.); #14377=EDGE_CURVE('',#11533,#11339,#4955,.T.); #14378=EDGE_CURVE('',#11395,#11533,#4956,.T.); #14379=EDGE_CURVE('',#11534,#11338,#4957,.T.); #14380=EDGE_CURVE('',#11533,#11534,#4958,.T.); #14381=EDGE_CURVE('',#11534,#11410,#4959,.T.); #14382=EDGE_CURVE('',#11396,#11409,#10199,.T.); #14383=EDGE_CURVE('',#11535,#11237,#4960,.T.); #14384=EDGE_CURVE('',#11474,#11535,#4961,.T.); #14385=EDGE_CURVE('',#11536,#11236,#4962,.T.); #14386=EDGE_CURVE('',#11535,#11536,#4963,.T.); #14387=EDGE_CURVE('',#11536,#11458,#4964,.T.); #14388=EDGE_CURVE('',#11473,#11457,#10200,.T.); #14389=EDGE_CURVE('',#11537,#11343,#4965,.T.); #14390=EDGE_CURVE('',#11391,#11537,#4966,.T.); #14391=EDGE_CURVE('',#11538,#11342,#4967,.T.); #14392=EDGE_CURVE('',#11537,#11538,#4968,.T.); #14393=EDGE_CURVE('',#11538,#11394,#4969,.T.); #14394=EDGE_CURVE('',#11392,#11393,#10201,.T.); #14395=EDGE_CURVE('',#11539,#11233,#4970,.T.); #14396=EDGE_CURVE('',#11456,#11539,#4971,.T.); #14397=EDGE_CURVE('',#11540,#11232,#4972,.T.); #14398=EDGE_CURVE('',#11539,#11540,#4973,.T.); #14399=EDGE_CURVE('',#11540,#11452,#4974,.T.); #14400=EDGE_CURVE('',#11455,#11451,#10202,.T.); #14401=EDGE_CURVE('',#11541,#11347,#4975,.T.); #14402=EDGE_CURVE('',#11398,#11541,#4976,.T.); #14403=EDGE_CURVE('',#11542,#11346,#4977,.T.); #14404=EDGE_CURVE('',#11541,#11542,#4978,.T.); #14405=EDGE_CURVE('',#11542,#11390,#4979,.T.); #14406=EDGE_CURVE('',#11397,#11389,#10203,.T.); #14407=EDGE_CURVE('',#11543,#11229,#4980,.T.); #14408=EDGE_CURVE('',#11453,#11543,#4981,.T.); #14409=EDGE_CURVE('',#11544,#11228,#4982,.T.); #14410=EDGE_CURVE('',#11543,#11544,#4983,.T.); #14411=EDGE_CURVE('',#11544,#11464,#4984,.T.); #14412=EDGE_CURVE('',#11454,#11463,#10204,.T.); #14413=EDGE_CURVE('',#11545,#11351,#4985,.T.); #14414=EDGE_CURVE('',#11482,#11545,#4986,.T.); #14415=EDGE_CURVE('',#11546,#11350,#4987,.T.); #14416=EDGE_CURVE('',#11545,#11546,#4988,.T.); #14417=EDGE_CURVE('',#11546,#11400,#4989,.T.); #14418=EDGE_CURVE('',#11481,#11399,#10205,.T.); #14419=EDGE_CURVE('',#11547,#11225,#4990,.T.); #14420=EDGE_CURVE('',#11462,#11547,#4991,.T.); #14421=EDGE_CURVE('',#11548,#11224,#4992,.T.); #14422=EDGE_CURVE('',#11547,#11548,#4993,.T.); #14423=EDGE_CURVE('',#11548,#11460,#4994,.T.); #14424=EDGE_CURVE('',#11461,#11459,#10206,.T.); #14425=EDGE_CURVE('',#11549,#11550,#4995,.T.); #14426=EDGE_CURVE('',#11550,#11551,#4996,.T.); #14427=EDGE_CURVE('',#11551,#11552,#4997,.T.); #14428=EDGE_CURVE('',#11552,#11549,#4998,.T.); #14429=EDGE_CURVE('',#11553,#11554,#4999,.T.); #14430=EDGE_CURVE('',#11555,#11553,#5000,.T.); #14431=EDGE_CURVE('',#11556,#11555,#5001,.T.); #14432=EDGE_CURVE('',#11554,#11556,#5002,.T.); #14433=EDGE_CURVE('',#11550,#11354,#5003,.T.); #14434=EDGE_CURVE('',#11549,#11353,#5004,.T.); #14435=EDGE_CURVE('',#11551,#11356,#5005,.T.); #14436=EDGE_CURVE('',#11552,#11355,#5006,.T.); #14437=EDGE_CURVE('',#11557,#11558,#5007,.T.); #14438=EDGE_CURVE('',#11555,#11557,#5008,.T.); #14439=EDGE_CURVE('',#11553,#11558,#5009,.T.); #14440=EDGE_CURVE('',#11558,#11559,#5010,.T.); #14441=EDGE_CURVE('',#11554,#11559,#5011,.T.); #14442=EDGE_CURVE('',#11559,#11560,#5012,.T.); #14443=EDGE_CURVE('',#11556,#11560,#5013,.T.); #14444=EDGE_CURVE('',#11560,#11557,#5014,.T.); #14445=EDGE_CURVE('',#11561,#11562,#10207,.T.); #14446=EDGE_CURVE('',#11563,#11561,#5015,.T.); #14447=EDGE_CURVE('',#11563,#11564,#10208,.T.); #14448=EDGE_CURVE('',#11562,#11564,#5016,.T.); #14449=EDGE_CURVE('',#11562,#11565,#5017,.T.); #14450=EDGE_CURVE('',#11564,#11566,#5018,.T.); #14451=EDGE_CURVE('',#11565,#11566,#5019,.T.); #14452=EDGE_CURVE('',#11567,#11563,#5020,.T.); #14453=EDGE_CURVE('',#11568,#11561,#5021,.T.); #14454=EDGE_CURVE('',#11568,#11567,#5022,.T.); #14455=EDGE_CURVE('',#11566,#11569,#5023,.T.); #14456=EDGE_CURVE('',#11570,#11569,#5024,.T.); #14457=EDGE_CURVE('',#11565,#11570,#5025,.T.); #14458=EDGE_CURVE('',#11569,#11571,#5026,.T.); #14459=EDGE_CURVE('',#11572,#11571,#5027,.T.); #14460=EDGE_CURVE('',#11570,#11572,#5028,.T.); #14461=EDGE_CURVE('',#11571,#11567,#5029,.T.); #14462=EDGE_CURVE('',#11572,#11568,#5030,.T.); #14463=EDGE_CURVE('',#11573,#11574,#10209,.T.); #14464=EDGE_CURVE('',#11575,#11573,#5031,.T.); #14465=EDGE_CURVE('',#11575,#11576,#10210,.T.); #14466=EDGE_CURVE('',#11574,#11576,#5032,.T.); #14467=EDGE_CURVE('',#11574,#11577,#5033,.T.); #14468=EDGE_CURVE('',#11576,#11578,#5034,.T.); #14469=EDGE_CURVE('',#11577,#11578,#5035,.T.); #14470=EDGE_CURVE('',#11579,#11575,#5036,.T.); #14471=EDGE_CURVE('',#11580,#11573,#5037,.T.); #14472=EDGE_CURVE('',#11580,#11579,#5038,.T.); #14473=EDGE_CURVE('',#11578,#11581,#5039,.T.); #14474=EDGE_CURVE('',#11582,#11581,#5040,.T.); #14475=EDGE_CURVE('',#11577,#11582,#5041,.T.); #14476=EDGE_CURVE('',#11581,#11583,#5042,.T.); #14477=EDGE_CURVE('',#11584,#11583,#5043,.T.); #14478=EDGE_CURVE('',#11582,#11584,#5044,.T.); #14479=EDGE_CURVE('',#11583,#11579,#5045,.T.); #14480=EDGE_CURVE('',#11584,#11580,#5046,.T.); #14481=EDGE_CURVE('',#11585,#11586,#10211,.T.); #14482=EDGE_CURVE('',#11587,#11585,#5047,.T.); #14483=EDGE_CURVE('',#11587,#11588,#10212,.T.); #14484=EDGE_CURVE('',#11586,#11588,#5048,.T.); #14485=EDGE_CURVE('',#11586,#11589,#5049,.T.); #14486=EDGE_CURVE('',#11588,#11590,#5050,.T.); #14487=EDGE_CURVE('',#11589,#11590,#5051,.T.); #14488=EDGE_CURVE('',#11591,#11587,#5052,.T.); #14489=EDGE_CURVE('',#11592,#11585,#5053,.T.); #14490=EDGE_CURVE('',#11592,#11591,#5054,.T.); #14491=EDGE_CURVE('',#11590,#11593,#5055,.T.); #14492=EDGE_CURVE('',#11594,#11593,#5056,.T.); #14493=EDGE_CURVE('',#11589,#11594,#5057,.T.); #14494=EDGE_CURVE('',#11593,#11595,#5058,.T.); #14495=EDGE_CURVE('',#11596,#11595,#5059,.T.); #14496=EDGE_CURVE('',#11594,#11596,#5060,.T.); #14497=EDGE_CURVE('',#11595,#11591,#5061,.T.); #14498=EDGE_CURVE('',#11596,#11592,#5062,.T.); #14499=EDGE_CURVE('',#11597,#11598,#10213,.T.); #14500=EDGE_CURVE('',#11599,#11597,#5063,.T.); #14501=EDGE_CURVE('',#11599,#11600,#10214,.T.); #14502=EDGE_CURVE('',#11598,#11600,#5064,.T.); #14503=EDGE_CURVE('',#11598,#11601,#5065,.T.); #14504=EDGE_CURVE('',#11600,#11602,#5066,.T.); #14505=EDGE_CURVE('',#11601,#11602,#5067,.T.); #14506=EDGE_CURVE('',#11603,#11599,#5068,.T.); #14507=EDGE_CURVE('',#11604,#11597,#5069,.T.); #14508=EDGE_CURVE('',#11604,#11603,#5070,.T.); #14509=EDGE_CURVE('',#11602,#11605,#5071,.T.); #14510=EDGE_CURVE('',#11606,#11605,#5072,.T.); #14511=EDGE_CURVE('',#11601,#11606,#5073,.T.); #14512=EDGE_CURVE('',#11605,#11607,#5074,.T.); #14513=EDGE_CURVE('',#11608,#11607,#5075,.T.); #14514=EDGE_CURVE('',#11606,#11608,#5076,.T.); #14515=EDGE_CURVE('',#11607,#11603,#5077,.T.); #14516=EDGE_CURVE('',#11608,#11604,#5078,.T.); #14517=EDGE_CURVE('',#11609,#11610,#10215,.T.); #14518=EDGE_CURVE('',#11611,#11610,#5079,.T.); #14519=EDGE_CURVE('',#11612,#11611,#10216,.T.); #14520=EDGE_CURVE('',#11609,#11612,#5080,.T.); #14521=EDGE_CURVE('',#11609,#11613,#5081,.T.); #14522=EDGE_CURVE('',#11612,#11614,#5082,.T.); #14523=EDGE_CURVE('',#11613,#11614,#5083,.T.); #14524=EDGE_CURVE('',#11615,#11611,#5084,.T.); #14525=EDGE_CURVE('',#11616,#11610,#5085,.T.); #14526=EDGE_CURVE('',#11616,#11615,#5086,.T.); #14527=EDGE_CURVE('',#11614,#11617,#5087,.T.); #14528=EDGE_CURVE('',#11618,#11617,#5088,.T.); #14529=EDGE_CURVE('',#11613,#11618,#5089,.T.); #14530=EDGE_CURVE('',#11617,#11619,#5090,.T.); #14531=EDGE_CURVE('',#11620,#11619,#5091,.T.); #14532=EDGE_CURVE('',#11618,#11620,#5092,.T.); #14533=EDGE_CURVE('',#11619,#11615,#5093,.T.); #14534=EDGE_CURVE('',#11620,#11616,#5094,.T.); #14535=EDGE_CURVE('',#11621,#11622,#10217,.T.); #14536=EDGE_CURVE('',#11623,#11622,#5095,.T.); #14537=EDGE_CURVE('',#11624,#11623,#10218,.T.); #14538=EDGE_CURVE('',#11621,#11624,#5096,.T.); #14539=EDGE_CURVE('',#11621,#11625,#5097,.T.); #14540=EDGE_CURVE('',#11624,#11626,#5098,.T.); #14541=EDGE_CURVE('',#11625,#11626,#5099,.T.); #14542=EDGE_CURVE('',#11627,#11623,#5100,.T.); #14543=EDGE_CURVE('',#11628,#11622,#5101,.T.); #14544=EDGE_CURVE('',#11628,#11627,#5102,.T.); #14545=EDGE_CURVE('',#11626,#11629,#5103,.T.); #14546=EDGE_CURVE('',#11630,#11629,#5104,.T.); #14547=EDGE_CURVE('',#11625,#11630,#5105,.T.); #14548=EDGE_CURVE('',#11629,#11631,#5106,.T.); #14549=EDGE_CURVE('',#11632,#11631,#5107,.T.); #14550=EDGE_CURVE('',#11630,#11632,#5108,.T.); #14551=EDGE_CURVE('',#11631,#11627,#5109,.T.); #14552=EDGE_CURVE('',#11632,#11628,#5110,.T.); #14553=EDGE_CURVE('',#11633,#11634,#10219,.T.); #14554=EDGE_CURVE('',#11635,#11633,#5111,.T.); #14555=EDGE_CURVE('',#11635,#11636,#10220,.T.); #14556=EDGE_CURVE('',#11634,#11636,#5112,.T.); #14557=EDGE_CURVE('',#11634,#11637,#5113,.T.); #14558=EDGE_CURVE('',#11636,#11638,#5114,.T.); #14559=EDGE_CURVE('',#11637,#11638,#5115,.T.); #14560=EDGE_CURVE('',#11639,#11635,#5116,.T.); #14561=EDGE_CURVE('',#11640,#11633,#5117,.T.); #14562=EDGE_CURVE('',#11640,#11639,#5118,.T.); #14563=EDGE_CURVE('',#11638,#11641,#5119,.T.); #14564=EDGE_CURVE('',#11642,#11641,#5120,.T.); #14565=EDGE_CURVE('',#11637,#11642,#5121,.T.); #14566=EDGE_CURVE('',#11641,#11643,#5122,.T.); #14567=EDGE_CURVE('',#11644,#11643,#5123,.T.); #14568=EDGE_CURVE('',#11642,#11644,#5124,.T.); #14569=EDGE_CURVE('',#11643,#11639,#5125,.T.); #14570=EDGE_CURVE('',#11644,#11640,#5126,.T.); #14571=EDGE_CURVE('',#11645,#11646,#10221,.T.); #14572=EDGE_CURVE('',#11647,#11645,#5127,.T.); #14573=EDGE_CURVE('',#11647,#11648,#10222,.T.); #14574=EDGE_CURVE('',#11646,#11648,#5128,.T.); #14575=EDGE_CURVE('',#11646,#11649,#5129,.T.); #14576=EDGE_CURVE('',#11648,#11650,#5130,.T.); #14577=EDGE_CURVE('',#11649,#11650,#5131,.T.); #14578=EDGE_CURVE('',#11651,#11647,#5132,.T.); #14579=EDGE_CURVE('',#11652,#11645,#5133,.T.); #14580=EDGE_CURVE('',#11652,#11651,#5134,.T.); #14581=EDGE_CURVE('',#11650,#11653,#5135,.T.); #14582=EDGE_CURVE('',#11654,#11653,#5136,.T.); #14583=EDGE_CURVE('',#11649,#11654,#5137,.T.); #14584=EDGE_CURVE('',#11653,#11655,#5138,.T.); #14585=EDGE_CURVE('',#11656,#11655,#5139,.T.); #14586=EDGE_CURVE('',#11654,#11656,#5140,.T.); #14587=EDGE_CURVE('',#11655,#11651,#5141,.T.); #14588=EDGE_CURVE('',#11656,#11652,#5142,.T.); #14589=EDGE_CURVE('',#11657,#11658,#5143,.T.); #14590=EDGE_CURVE('',#11657,#11659,#5144,.T.); #14591=EDGE_CURVE('',#11659,#11660,#5145,.T.); #14592=EDGE_CURVE('',#11658,#11660,#5146,.T.); #14593=EDGE_CURVE('',#11661,#11657,#10223,.T.); #14594=EDGE_CURVE('',#11661,#11662,#5147,.T.); #14595=EDGE_CURVE('',#11662,#11659,#10224,.T.); #14596=EDGE_CURVE('',#11663,#11661,#5148,.T.); #14597=EDGE_CURVE('',#11663,#11664,#5149,.T.); #14598=EDGE_CURVE('',#11664,#11662,#5150,.T.); #14599=EDGE_CURVE('',#11665,#11663,#10225,.T.); #14600=EDGE_CURVE('',#11665,#11666,#5151,.T.); #14601=EDGE_CURVE('',#11666,#11664,#10226,.T.); #14602=EDGE_CURVE('',#11667,#11665,#10227,.T.); #14603=EDGE_CURVE('',#11667,#11668,#5152,.T.); #14604=EDGE_CURVE('',#11668,#11666,#10228,.T.); #14605=EDGE_CURVE('',#11669,#11667,#5153,.T.); #14606=EDGE_CURVE('',#11669,#11670,#5154,.T.); #14607=EDGE_CURVE('',#11670,#11668,#5155,.T.); #14608=EDGE_CURVE('',#11671,#11669,#10229,.T.); #14609=EDGE_CURVE('',#11671,#11672,#5156,.T.); #14610=EDGE_CURVE('',#11672,#11670,#10230,.T.); #14611=EDGE_CURVE('',#11673,#11671,#10231,.T.); #14612=EDGE_CURVE('',#11673,#11674,#5157,.T.); #14613=EDGE_CURVE('',#11674,#11672,#10232,.T.); #14614=EDGE_CURVE('',#11675,#11673,#10233,.T.); #14615=EDGE_CURVE('',#11675,#11676,#5158,.T.); #14616=EDGE_CURVE('',#11676,#11674,#10234,.T.); #14617=EDGE_CURVE('',#11677,#11675,#10235,.T.); #14618=EDGE_CURVE('',#11677,#11678,#5159,.T.); #14619=EDGE_CURVE('',#11678,#11676,#10236,.T.); #14620=EDGE_CURVE('',#11658,#11677,#5160,.T.); #14621=EDGE_CURVE('',#11660,#11678,#5161,.T.); #14622=EDGE_CURVE('',#11679,#11680,#10237,.T.); #14623=EDGE_CURVE('',#11679,#11681,#5162,.T.); #14624=EDGE_CURVE('',#11681,#11682,#10238,.T.); #14625=EDGE_CURVE('',#11680,#11682,#5163,.T.); #14626=EDGE_CURVE('',#11683,#11679,#10239,.T.); #14627=EDGE_CURVE('',#11683,#11684,#5164,.T.); #14628=EDGE_CURVE('',#11684,#11681,#10240,.T.); #14629=EDGE_CURVE('',#11685,#11683,#5165,.T.); #14630=EDGE_CURVE('',#11685,#11686,#5166,.T.); #14631=EDGE_CURVE('',#11686,#11684,#5167,.T.); #14632=EDGE_CURVE('',#11687,#11685,#10241,.T.); #14633=EDGE_CURVE('',#11687,#11688,#5168,.T.); #14634=EDGE_CURVE('',#11688,#11686,#10242,.T.); #14635=EDGE_CURVE('',#11689,#11687,#5169,.T.); #14636=EDGE_CURVE('',#11689,#11690,#5170,.T.); #14637=EDGE_CURVE('',#11690,#11688,#5171,.T.); #14638=EDGE_CURVE('',#11691,#11689,#5172,.T.); #14639=EDGE_CURVE('',#11691,#11692,#5173,.T.); #14640=EDGE_CURVE('',#11692,#11690,#5174,.T.); #14641=EDGE_CURVE('',#11693,#11691,#10243,.T.); #14642=EDGE_CURVE('',#11693,#11694,#5175,.T.); #14643=EDGE_CURVE('',#11694,#11692,#10244,.T.); #14644=EDGE_CURVE('',#11695,#11693,#10245,.T.); #14645=EDGE_CURVE('',#11695,#11696,#5176,.T.); #14646=EDGE_CURVE('',#11696,#11694,#10246,.T.); #14647=EDGE_CURVE('',#11697,#11695,#10247,.T.); #14648=EDGE_CURVE('',#11697,#11698,#5177,.T.); #14649=EDGE_CURVE('',#11698,#11696,#10248,.T.); #14650=EDGE_CURVE('',#11699,#11697,#10249,.T.); #14651=EDGE_CURVE('',#11699,#11700,#5178,.T.); #14652=EDGE_CURVE('',#11700,#11698,#10250,.T.); #14653=EDGE_CURVE('',#11680,#11699,#5179,.T.); #14654=EDGE_CURVE('',#11682,#11700,#5180,.T.); #14655=EDGE_CURVE('',#11701,#11702,#10251,.T.); #14656=EDGE_CURVE('',#11701,#11703,#5181,.T.); #14657=EDGE_CURVE('',#11703,#11704,#10252,.T.); #14658=EDGE_CURVE('',#11702,#11704,#5182,.T.); #14659=EDGE_CURVE('',#11705,#11701,#5183,.T.); #14660=EDGE_CURVE('',#11705,#11706,#5184,.T.); #14661=EDGE_CURVE('',#11706,#11703,#5185,.T.); #14662=EDGE_CURVE('',#11707,#11705,#5186,.T.); #14663=EDGE_CURVE('',#11707,#11708,#5187,.T.); #14664=EDGE_CURVE('',#11708,#11706,#5188,.T.); #14665=EDGE_CURVE('',#11709,#11707,#5189,.T.); #14666=EDGE_CURVE('',#11709,#11710,#5190,.T.); #14667=EDGE_CURVE('',#11710,#11708,#5191,.T.); #14668=EDGE_CURVE('',#11711,#11709,#5192,.T.); #14669=EDGE_CURVE('',#11711,#11712,#5193,.T.); #14670=EDGE_CURVE('',#11712,#11710,#5194,.T.); #14671=EDGE_CURVE('',#11713,#11711,#5195,.T.); #14672=EDGE_CURVE('',#11713,#11714,#5196,.T.); #14673=EDGE_CURVE('',#11714,#11712,#5197,.T.); #14674=EDGE_CURVE('',#11715,#11713,#5198,.T.); #14675=EDGE_CURVE('',#11715,#11716,#5199,.T.); #14676=EDGE_CURVE('',#11716,#11714,#5200,.T.); #14677=EDGE_CURVE('',#11717,#11715,#5201,.T.); #14678=EDGE_CURVE('',#11717,#11718,#5202,.T.); #14679=EDGE_CURVE('',#11718,#11716,#5203,.T.); #14680=EDGE_CURVE('',#11719,#11717,#5204,.T.); #14681=EDGE_CURVE('',#11719,#11720,#5205,.T.); #14682=EDGE_CURVE('',#11720,#11718,#5206,.T.); #14683=EDGE_CURVE('',#11721,#11719,#10253,.T.); #14684=EDGE_CURVE('',#11721,#11722,#5207,.T.); #14685=EDGE_CURVE('',#11722,#11720,#10254,.T.); #14686=EDGE_CURVE('',#11723,#11721,#5208,.T.); #14687=EDGE_CURVE('',#11723,#11724,#5209,.T.); #14688=EDGE_CURVE('',#11724,#11722,#5210,.T.); #14689=EDGE_CURVE('',#11725,#11723,#5211,.T.); #14690=EDGE_CURVE('',#11725,#11726,#5212,.T.); #14691=EDGE_CURVE('',#11726,#11724,#5213,.T.); #14692=EDGE_CURVE('',#11727,#11725,#10255,.T.); #14693=EDGE_CURVE('',#11727,#11728,#5214,.T.); #14694=EDGE_CURVE('',#11728,#11726,#10256,.T.); #14695=EDGE_CURVE('',#11729,#11727,#10257,.T.); #14696=EDGE_CURVE('',#11729,#11730,#5215,.T.); #14697=EDGE_CURVE('',#11730,#11728,#10258,.T.); #14698=EDGE_CURVE('',#11731,#11729,#5216,.T.); #14699=EDGE_CURVE('',#11731,#11732,#5217,.T.); #14700=EDGE_CURVE('',#11732,#11730,#5218,.T.); #14701=EDGE_CURVE('',#11733,#11731,#10259,.T.); #14702=EDGE_CURVE('',#11733,#11734,#5219,.T.); #14703=EDGE_CURVE('',#11734,#11732,#10260,.T.); #14704=EDGE_CURVE('',#11735,#11733,#5220,.T.); #14705=EDGE_CURVE('',#11735,#11736,#5221,.T.); #14706=EDGE_CURVE('',#11736,#11734,#5222,.T.); #14707=EDGE_CURVE('',#11737,#11735,#5223,.T.); #14708=EDGE_CURVE('',#11737,#11738,#5224,.T.); #14709=EDGE_CURVE('',#11738,#11736,#5225,.T.); #14710=EDGE_CURVE('',#11739,#11737,#5226,.T.); #14711=EDGE_CURVE('',#11739,#11740,#5227,.T.); #14712=EDGE_CURVE('',#11740,#11738,#5228,.T.); #14713=EDGE_CURVE('',#11741,#11739,#5229,.T.); #14714=EDGE_CURVE('',#11741,#11742,#5230,.T.); #14715=EDGE_CURVE('',#11742,#11740,#5231,.T.); #14716=EDGE_CURVE('',#11743,#11741,#5232,.T.); #14717=EDGE_CURVE('',#11743,#11744,#5233,.T.); #14718=EDGE_CURVE('',#11744,#11742,#5234,.T.); #14719=EDGE_CURVE('',#11745,#11743,#5235,.T.); #14720=EDGE_CURVE('',#11745,#11746,#5236,.T.); #14721=EDGE_CURVE('',#11746,#11744,#5237,.T.); #14722=EDGE_CURVE('',#11747,#11745,#5238,.T.); #14723=EDGE_CURVE('',#11747,#11748,#5239,.T.); #14724=EDGE_CURVE('',#11748,#11746,#5240,.T.); #14725=EDGE_CURVE('',#11749,#11747,#5241,.T.); #14726=EDGE_CURVE('',#11749,#11750,#5242,.T.); #14727=EDGE_CURVE('',#11750,#11748,#5243,.T.); #14728=EDGE_CURVE('',#11751,#11749,#5244,.T.); #14729=EDGE_CURVE('',#11751,#11752,#5245,.T.); #14730=EDGE_CURVE('',#11752,#11750,#5246,.T.); #14731=EDGE_CURVE('',#11753,#11751,#10261,.T.); #14732=EDGE_CURVE('',#11753,#11754,#5247,.T.); #14733=EDGE_CURVE('',#11754,#11752,#10262,.T.); #14734=EDGE_CURVE('',#11755,#11753,#5248,.T.); #14735=EDGE_CURVE('',#11755,#11756,#5249,.T.); #14736=EDGE_CURVE('',#11756,#11754,#5250,.T.); #14737=EDGE_CURVE('',#11757,#11755,#5251,.T.); #14738=EDGE_CURVE('',#11757,#11758,#5252,.T.); #14739=EDGE_CURVE('',#11758,#11756,#5253,.T.); #14740=EDGE_CURVE('',#11759,#11757,#5254,.T.); #14741=EDGE_CURVE('',#11759,#11760,#5255,.T.); #14742=EDGE_CURVE('',#11760,#11758,#5256,.T.); #14743=EDGE_CURVE('',#11761,#11759,#5257,.T.); #14744=EDGE_CURVE('',#11761,#11762,#5258,.T.); #14745=EDGE_CURVE('',#11762,#11760,#5259,.T.); #14746=EDGE_CURVE('',#11702,#11761,#5260,.T.); #14747=EDGE_CURVE('',#11704,#11762,#5261,.T.); #14748=EDGE_CURVE('',#11763,#11764,#5262,.T.); #14749=EDGE_CURVE('',#11763,#11765,#5263,.T.); #14750=EDGE_CURVE('',#11765,#11766,#5264,.T.); #14751=EDGE_CURVE('',#11764,#11766,#5265,.T.); #14752=EDGE_CURVE('',#11767,#11763,#5266,.T.); #14753=EDGE_CURVE('',#11767,#11768,#5267,.T.); #14754=EDGE_CURVE('',#11768,#11765,#5268,.T.); #14755=EDGE_CURVE('',#11769,#11767,#5269,.T.); #14756=EDGE_CURVE('',#11769,#11770,#5270,.T.); #14757=EDGE_CURVE('',#11770,#11768,#5271,.T.); #14758=EDGE_CURVE('',#11771,#11769,#5272,.T.); #14759=EDGE_CURVE('',#11771,#11772,#5273,.T.); #14760=EDGE_CURVE('',#11772,#11770,#5274,.T.); #14761=EDGE_CURVE('',#11773,#11771,#5275,.T.); #14762=EDGE_CURVE('',#11773,#11774,#5276,.T.); #14763=EDGE_CURVE('',#11774,#11772,#5277,.T.); #14764=EDGE_CURVE('',#11775,#11773,#5278,.T.); #14765=EDGE_CURVE('',#11775,#11776,#5279,.T.); #14766=EDGE_CURVE('',#11776,#11774,#5280,.T.); #14767=EDGE_CURVE('',#11777,#11775,#5281,.T.); #14768=EDGE_CURVE('',#11777,#11778,#5282,.T.); #14769=EDGE_CURVE('',#11778,#11776,#5283,.T.); #14770=EDGE_CURVE('',#11779,#11777,#10263,.T.); #14771=EDGE_CURVE('',#11779,#11780,#5284,.T.); #14772=EDGE_CURVE('',#11780,#11778,#10264,.T.); #14773=EDGE_CURVE('',#11781,#11779,#10265,.T.); #14774=EDGE_CURVE('',#11781,#11782,#5285,.T.); #14775=EDGE_CURVE('',#11782,#11780,#10266,.T.); #14776=EDGE_CURVE('',#11783,#11781,#5286,.T.); #14777=EDGE_CURVE('',#11783,#11784,#5287,.T.); #14778=EDGE_CURVE('',#11784,#11782,#5288,.T.); #14779=EDGE_CURVE('',#11785,#11783,#10267,.T.); #14780=EDGE_CURVE('',#11785,#11786,#5289,.T.); #14781=EDGE_CURVE('',#11786,#11784,#10268,.T.); #14782=EDGE_CURVE('',#11787,#11785,#10269,.T.); #14783=EDGE_CURVE('',#11787,#11788,#5290,.T.); #14784=EDGE_CURVE('',#11788,#11786,#10270,.T.); #14785=EDGE_CURVE('',#11789,#11787,#10271,.T.); #14786=EDGE_CURVE('',#11789,#11790,#5291,.T.); #14787=EDGE_CURVE('',#11790,#11788,#10272,.T.); #14788=EDGE_CURVE('',#11791,#11789,#5292,.T.); #14789=EDGE_CURVE('',#11791,#11792,#5293,.T.); #14790=EDGE_CURVE('',#11792,#11790,#5294,.T.); #14791=EDGE_CURVE('',#11793,#11791,#5295,.T.); #14792=EDGE_CURVE('',#11793,#11794,#5296,.T.); #14793=EDGE_CURVE('',#11794,#11792,#5297,.T.); #14794=EDGE_CURVE('',#11795,#11793,#5298,.T.); #14795=EDGE_CURVE('',#11795,#11796,#5299,.T.); #14796=EDGE_CURVE('',#11796,#11794,#5300,.T.); #14797=EDGE_CURVE('',#11797,#11795,#5301,.T.); #14798=EDGE_CURVE('',#11797,#11798,#5302,.T.); #14799=EDGE_CURVE('',#11798,#11796,#5303,.T.); #14800=EDGE_CURVE('',#11799,#11797,#5304,.T.); #14801=EDGE_CURVE('',#11799,#11800,#5305,.T.); #14802=EDGE_CURVE('',#11800,#11798,#5306,.T.); #14803=EDGE_CURVE('',#11801,#11799,#10273,.T.); #14804=EDGE_CURVE('',#11801,#11802,#5307,.T.); #14805=EDGE_CURVE('',#11802,#11800,#10274,.T.); #14806=EDGE_CURVE('',#11803,#11801,#5308,.T.); #14807=EDGE_CURVE('',#11803,#11804,#5309,.T.); #14808=EDGE_CURVE('',#11804,#11802,#5310,.T.); #14809=EDGE_CURVE('',#11805,#11803,#10275,.T.); #14810=EDGE_CURVE('',#11805,#11806,#5311,.T.); #14811=EDGE_CURVE('',#11806,#11804,#10276,.T.); #14812=EDGE_CURVE('',#11807,#11805,#10277,.T.); #14813=EDGE_CURVE('',#11807,#11808,#5312,.T.); #14814=EDGE_CURVE('',#11808,#11806,#10278,.T.); #14815=EDGE_CURVE('',#11809,#11807,#10279,.T.); #14816=EDGE_CURVE('',#11809,#11810,#5313,.T.); #14817=EDGE_CURVE('',#11810,#11808,#10280,.T.); #14818=EDGE_CURVE('',#11811,#11809,#5314,.T.); #14819=EDGE_CURVE('',#11811,#11812,#5315,.T.); #14820=EDGE_CURVE('',#11812,#11810,#5316,.T.); #14821=EDGE_CURVE('',#11813,#11811,#10281,.T.); #14822=EDGE_CURVE('',#11813,#11814,#5317,.T.); #14823=EDGE_CURVE('',#11814,#11812,#10282,.T.); #14824=EDGE_CURVE('',#11815,#11813,#5318,.T.); #14825=EDGE_CURVE('',#11815,#11816,#5319,.T.); #14826=EDGE_CURVE('',#11816,#11814,#5320,.T.); #14827=EDGE_CURVE('',#11817,#11815,#5321,.T.); #14828=EDGE_CURVE('',#11817,#11818,#5322,.T.); #14829=EDGE_CURVE('',#11818,#11816,#5323,.T.); #14830=EDGE_CURVE('',#11819,#11817,#10283,.T.); #14831=EDGE_CURVE('',#11819,#11820,#5324,.T.); #14832=EDGE_CURVE('',#11820,#11818,#10284,.T.); #14833=EDGE_CURVE('',#11821,#11819,#5325,.T.); #14834=EDGE_CURVE('',#11821,#11822,#5326,.T.); #14835=EDGE_CURVE('',#11822,#11820,#5327,.T.); #14836=EDGE_CURVE('',#11823,#11821,#5328,.T.); #14837=EDGE_CURVE('',#11823,#11824,#5329,.T.); #14838=EDGE_CURVE('',#11824,#11822,#5330,.T.); #14839=EDGE_CURVE('',#11825,#11823,#5331,.T.); #14840=EDGE_CURVE('',#11825,#11826,#5332,.T.); #14841=EDGE_CURVE('',#11826,#11824,#5333,.T.); #14842=EDGE_CURVE('',#11827,#11825,#5334,.T.); #14843=EDGE_CURVE('',#11827,#11828,#5335,.T.); #14844=EDGE_CURVE('',#11828,#11826,#5336,.T.); #14845=EDGE_CURVE('',#11764,#11827,#5337,.T.); #14846=EDGE_CURVE('',#11766,#11828,#5338,.T.); #14847=EDGE_CURVE('',#11829,#11830,#5339,.T.); #14848=EDGE_CURVE('',#11829,#11831,#5340,.T.); #14849=EDGE_CURVE('',#11831,#11832,#5341,.T.); #14850=EDGE_CURVE('',#11830,#11832,#5342,.T.); #14851=EDGE_CURVE('',#11833,#11829,#10285,.T.); #14852=EDGE_CURVE('',#11833,#11834,#5343,.T.); #14853=EDGE_CURVE('',#11834,#11831,#10286,.T.); #14854=EDGE_CURVE('',#11835,#11833,#10287,.T.); #14855=EDGE_CURVE('',#11835,#11836,#5344,.T.); #14856=EDGE_CURVE('',#11836,#11834,#10288,.T.); #14857=EDGE_CURVE('',#11837,#11835,#5345,.T.); #14858=EDGE_CURVE('',#11837,#11838,#5346,.T.); #14859=EDGE_CURVE('',#11838,#11836,#5347,.T.); #14860=EDGE_CURVE('',#11839,#11837,#5348,.T.); #14861=EDGE_CURVE('',#11839,#11840,#5349,.T.); #14862=EDGE_CURVE('',#11840,#11838,#5350,.T.); #14863=EDGE_CURVE('',#11841,#11839,#10289,.T.); #14864=EDGE_CURVE('',#11841,#11842,#5351,.T.); #14865=EDGE_CURVE('',#11842,#11840,#10290,.T.); #14866=EDGE_CURVE('',#11843,#11841,#10291,.T.); #14867=EDGE_CURVE('',#11843,#11844,#5352,.T.); #14868=EDGE_CURVE('',#11844,#11842,#10292,.T.); #14869=EDGE_CURVE('',#11845,#11843,#5353,.T.); #14870=EDGE_CURVE('',#11845,#11846,#5354,.T.); #14871=EDGE_CURVE('',#11846,#11844,#5355,.T.); #14872=EDGE_CURVE('',#11847,#11845,#10293,.T.); #14873=EDGE_CURVE('',#11847,#11848,#5356,.T.); #14874=EDGE_CURVE('',#11848,#11846,#10294,.T.); #14875=EDGE_CURVE('',#11849,#11847,#10295,.T.); #14876=EDGE_CURVE('',#11849,#11850,#5357,.T.); #14877=EDGE_CURVE('',#11850,#11848,#10296,.T.); #14878=EDGE_CURVE('',#11851,#11849,#5358,.T.); #14879=EDGE_CURVE('',#11851,#11852,#5359,.T.); #14880=EDGE_CURVE('',#11852,#11850,#5360,.T.); #14881=EDGE_CURVE('',#11853,#11851,#5361,.T.); #14882=EDGE_CURVE('',#11853,#11854,#5362,.T.); #14883=EDGE_CURVE('',#11854,#11852,#5363,.T.); #14884=EDGE_CURVE('',#11855,#11853,#10297,.T.); #14885=EDGE_CURVE('',#11855,#11856,#5364,.T.); #14886=EDGE_CURVE('',#11856,#11854,#10298,.T.); #14887=EDGE_CURVE('',#11857,#11855,#10299,.T.); #14888=EDGE_CURVE('',#11857,#11858,#5365,.T.); #14889=EDGE_CURVE('',#11858,#11856,#10300,.T.); #14890=EDGE_CURVE('',#11859,#11857,#5366,.T.); #14891=EDGE_CURVE('',#11859,#11860,#5367,.T.); #14892=EDGE_CURVE('',#11860,#11858,#5368,.T.); #14893=EDGE_CURVE('',#11861,#11859,#5369,.T.); #14894=EDGE_CURVE('',#11861,#11862,#5370,.T.); #14895=EDGE_CURVE('',#11862,#11860,#5371,.T.); #14896=EDGE_CURVE('',#11863,#11861,#5372,.T.); #14897=EDGE_CURVE('',#11863,#11864,#5373,.T.); #14898=EDGE_CURVE('',#11864,#11862,#5374,.T.); #14899=EDGE_CURVE('',#11865,#11863,#10301,.T.); #14900=EDGE_CURVE('',#11865,#11866,#5375,.T.); #14901=EDGE_CURVE('',#11866,#11864,#10302,.T.); #14902=EDGE_CURVE('',#11867,#11865,#5376,.T.); #14903=EDGE_CURVE('',#11867,#11868,#5377,.T.); #14904=EDGE_CURVE('',#11868,#11866,#5378,.T.); #14905=EDGE_CURVE('',#11869,#11867,#5379,.T.); #14906=EDGE_CURVE('',#11869,#11870,#5380,.T.); #14907=EDGE_CURVE('',#11870,#11868,#5381,.T.); #14908=EDGE_CURVE('',#11871,#11869,#5382,.T.); #14909=EDGE_CURVE('',#11871,#11872,#5383,.T.); #14910=EDGE_CURVE('',#11872,#11870,#5384,.T.); #14911=EDGE_CURVE('',#11873,#11871,#5385,.T.); #14912=EDGE_CURVE('',#11873,#11874,#5386,.T.); #14913=EDGE_CURVE('',#11874,#11872,#5387,.T.); #14914=EDGE_CURVE('',#11875,#11873,#5388,.T.); #14915=EDGE_CURVE('',#11875,#11876,#5389,.T.); #14916=EDGE_CURVE('',#11876,#11874,#5390,.T.); #14917=EDGE_CURVE('',#11877,#11875,#10303,.T.); #14918=EDGE_CURVE('',#11877,#11878,#5391,.T.); #14919=EDGE_CURVE('',#11878,#11876,#10304,.T.); #14920=EDGE_CURVE('',#11879,#11877,#5392,.T.); #14921=EDGE_CURVE('',#11879,#11880,#5393,.T.); #14922=EDGE_CURVE('',#11880,#11878,#5394,.T.); #14923=EDGE_CURVE('',#11881,#11879,#5395,.T.); #14924=EDGE_CURVE('',#11881,#11882,#5396,.T.); #14925=EDGE_CURVE('',#11882,#11880,#5397,.T.); #14926=EDGE_CURVE('',#11883,#11881,#5398,.T.); #14927=EDGE_CURVE('',#11883,#11884,#5399,.T.); #14928=EDGE_CURVE('',#11884,#11882,#5400,.T.); #14929=EDGE_CURVE('',#11885,#11883,#5401,.T.); #14930=EDGE_CURVE('',#11885,#11886,#5402,.T.); #14931=EDGE_CURVE('',#11886,#11884,#5403,.T.); #14932=EDGE_CURVE('',#11887,#11885,#5404,.T.); #14933=EDGE_CURVE('',#11887,#11888,#5405,.T.); #14934=EDGE_CURVE('',#11888,#11886,#5406,.T.); #14935=EDGE_CURVE('',#11889,#11887,#5407,.T.); #14936=EDGE_CURVE('',#11889,#11890,#5408,.T.); #14937=EDGE_CURVE('',#11890,#11888,#5409,.T.); #14938=EDGE_CURVE('',#11891,#11889,#5410,.T.); #14939=EDGE_CURVE('',#11891,#11892,#5411,.T.); #14940=EDGE_CURVE('',#11892,#11890,#5412,.T.); #14941=EDGE_CURVE('',#11893,#11891,#5413,.T.); #14942=EDGE_CURVE('',#11893,#11894,#5414,.T.); #14943=EDGE_CURVE('',#11894,#11892,#5415,.T.); #14944=EDGE_CURVE('',#11895,#11893,#5416,.T.); #14945=EDGE_CURVE('',#11895,#11896,#5417,.T.); #14946=EDGE_CURVE('',#11896,#11894,#5418,.T.); #14947=EDGE_CURVE('',#11897,#11895,#5419,.T.); #14948=EDGE_CURVE('',#11897,#11898,#5420,.T.); #14949=EDGE_CURVE('',#11898,#11896,#5421,.T.); #14950=EDGE_CURVE('',#11899,#11897,#5422,.T.); #14951=EDGE_CURVE('',#11899,#11900,#5423,.T.); #14952=EDGE_CURVE('',#11900,#11898,#5424,.T.); #14953=EDGE_CURVE('',#11901,#11899,#5425,.T.); #14954=EDGE_CURVE('',#11901,#11902,#5426,.T.); #14955=EDGE_CURVE('',#11902,#11900,#5427,.T.); #14956=EDGE_CURVE('',#11903,#11901,#10305,.T.); #14957=EDGE_CURVE('',#11903,#11904,#5428,.T.); #14958=EDGE_CURVE('',#11904,#11902,#10306,.T.); #14959=EDGE_CURVE('',#11905,#11903,#10307,.T.); #14960=EDGE_CURVE('',#11905,#11906,#5429,.T.); #14961=EDGE_CURVE('',#11906,#11904,#10308,.T.); #14962=EDGE_CURVE('',#11907,#11905,#5430,.T.); #14963=EDGE_CURVE('',#11907,#11908,#5431,.T.); #14964=EDGE_CURVE('',#11908,#11906,#5432,.T.); #14965=EDGE_CURVE('',#11909,#11907,#5433,.T.); #14966=EDGE_CURVE('',#11909,#11910,#5434,.T.); #14967=EDGE_CURVE('',#11910,#11908,#5435,.T.); #14968=EDGE_CURVE('',#11911,#11909,#5436,.T.); #14969=EDGE_CURVE('',#11911,#11912,#5437,.T.); #14970=EDGE_CURVE('',#11912,#11910,#5438,.T.); #14971=EDGE_CURVE('',#11913,#11911,#5439,.T.); #14972=EDGE_CURVE('',#11913,#11914,#5440,.T.); #14973=EDGE_CURVE('',#11914,#11912,#5441,.T.); #14974=EDGE_CURVE('',#11915,#11913,#10309,.T.); #14975=EDGE_CURVE('',#11915,#11916,#5442,.T.); #14976=EDGE_CURVE('',#11916,#11914,#10310,.T.); #14977=EDGE_CURVE('',#11917,#11915,#5443,.T.); #14978=EDGE_CURVE('',#11917,#11918,#5444,.T.); #14979=EDGE_CURVE('',#11918,#11916,#5445,.T.); #14980=EDGE_CURVE('',#11919,#11917,#5446,.T.); #14981=EDGE_CURVE('',#11919,#11920,#5447,.T.); #14982=EDGE_CURVE('',#11920,#11918,#5448,.T.); #14983=EDGE_CURVE('',#11921,#11919,#10311,.T.); #14984=EDGE_CURVE('',#11921,#11922,#5449,.T.); #14985=EDGE_CURVE('',#11922,#11920,#10312,.T.); #14986=EDGE_CURVE('',#11923,#11921,#5450,.T.); #14987=EDGE_CURVE('',#11923,#11924,#5451,.T.); #14988=EDGE_CURVE('',#11924,#11922,#5452,.T.); #14989=EDGE_CURVE('',#11925,#11923,#5453,.T.); #14990=EDGE_CURVE('',#11925,#11926,#5454,.T.); #14991=EDGE_CURVE('',#11926,#11924,#5455,.T.); #14992=EDGE_CURVE('',#11927,#11925,#10313,.T.); #14993=EDGE_CURVE('',#11927,#11928,#5456,.T.); #14994=EDGE_CURVE('',#11928,#11926,#10314,.T.); #14995=EDGE_CURVE('',#11929,#11927,#10315,.T.); #14996=EDGE_CURVE('',#11929,#11930,#5457,.T.); #14997=EDGE_CURVE('',#11930,#11928,#10316,.T.); #14998=EDGE_CURVE('',#11931,#11929,#5458,.T.); #14999=EDGE_CURVE('',#11931,#11932,#5459,.T.); #15000=EDGE_CURVE('',#11932,#11930,#5460,.T.); #15001=EDGE_CURVE('',#11933,#11931,#5461,.T.); #15002=EDGE_CURVE('',#11933,#11934,#5462,.T.); #15003=EDGE_CURVE('',#11934,#11932,#5463,.T.); #15004=EDGE_CURVE('',#11935,#11933,#10317,.T.); #15005=EDGE_CURVE('',#11935,#11936,#5464,.T.); #15006=EDGE_CURVE('',#11936,#11934,#10318,.T.); #15007=EDGE_CURVE('',#11937,#11935,#5465,.T.); #15008=EDGE_CURVE('',#11937,#11938,#5466,.T.); #15009=EDGE_CURVE('',#11938,#11936,#5467,.T.); #15010=EDGE_CURVE('',#11939,#11937,#5468,.T.); #15011=EDGE_CURVE('',#11939,#11940,#5469,.T.); #15012=EDGE_CURVE('',#11940,#11938,#5470,.T.); #15013=EDGE_CURVE('',#11941,#11939,#5471,.T.); #15014=EDGE_CURVE('',#11941,#11942,#5472,.T.); #15015=EDGE_CURVE('',#11942,#11940,#5473,.T.); #15016=EDGE_CURVE('',#11943,#11941,#5474,.T.); #15017=EDGE_CURVE('',#11943,#11944,#5475,.T.); #15018=EDGE_CURVE('',#11944,#11942,#5476,.T.); #15019=EDGE_CURVE('',#11945,#11943,#5477,.T.); #15020=EDGE_CURVE('',#11945,#11946,#5478,.T.); #15021=EDGE_CURVE('',#11946,#11944,#5479,.T.); #15022=EDGE_CURVE('',#11947,#11945,#5480,.T.); #15023=EDGE_CURVE('',#11947,#11948,#5481,.T.); #15024=EDGE_CURVE('',#11948,#11946,#5482,.T.); #15025=EDGE_CURVE('',#11949,#11947,#5483,.T.); #15026=EDGE_CURVE('',#11949,#11950,#5484,.T.); #15027=EDGE_CURVE('',#11950,#11948,#5485,.T.); #15028=EDGE_CURVE('',#11951,#11949,#10319,.T.); #15029=EDGE_CURVE('',#11951,#11952,#5486,.T.); #15030=EDGE_CURVE('',#11952,#11950,#10320,.T.); #15031=EDGE_CURVE('',#11953,#11951,#5487,.T.); #15032=EDGE_CURVE('',#11953,#11954,#5488,.T.); #15033=EDGE_CURVE('',#11954,#11952,#5489,.T.); #15034=EDGE_CURVE('',#11955,#11953,#5490,.T.); #15035=EDGE_CURVE('',#11955,#11956,#5491,.T.); #15036=EDGE_CURVE('',#11956,#11954,#5492,.T.); #15037=EDGE_CURVE('',#11957,#11955,#5493,.T.); #15038=EDGE_CURVE('',#11957,#11958,#5494,.T.); #15039=EDGE_CURVE('',#11958,#11956,#5495,.T.); #15040=EDGE_CURVE('',#11959,#11957,#10321,.T.); #15041=EDGE_CURVE('',#11959,#11960,#5496,.T.); #15042=EDGE_CURVE('',#11960,#11958,#10322,.T.); #15043=EDGE_CURVE('',#11961,#11959,#5497,.T.); #15044=EDGE_CURVE('',#11961,#11962,#5498,.T.); #15045=EDGE_CURVE('',#11962,#11960,#5499,.T.); #15046=EDGE_CURVE('',#11963,#11961,#5500,.T.); #15047=EDGE_CURVE('',#11963,#11964,#5501,.T.); #15048=EDGE_CURVE('',#11964,#11962,#5502,.T.); #15049=EDGE_CURVE('',#11965,#11963,#5503,.T.); #15050=EDGE_CURVE('',#11965,#11966,#5504,.T.); #15051=EDGE_CURVE('',#11966,#11964,#5505,.T.); #15052=EDGE_CURVE('',#11967,#11965,#5506,.T.); #15053=EDGE_CURVE('',#11967,#11968,#5507,.T.); #15054=EDGE_CURVE('',#11968,#11966,#5508,.T.); #15055=EDGE_CURVE('',#11969,#11967,#10323,.T.); #15056=EDGE_CURVE('',#11969,#11970,#5509,.T.); #15057=EDGE_CURVE('',#11970,#11968,#10324,.T.); #15058=EDGE_CURVE('',#11971,#11969,#5510,.T.); #15059=EDGE_CURVE('',#11971,#11972,#5511,.T.); #15060=EDGE_CURVE('',#11972,#11970,#5512,.T.); #15061=EDGE_CURVE('',#11973,#11971,#5513,.T.); #15062=EDGE_CURVE('',#11973,#11974,#5514,.T.); #15063=EDGE_CURVE('',#11974,#11972,#5515,.T.); #15064=EDGE_CURVE('',#11975,#11973,#5516,.T.); #15065=EDGE_CURVE('',#11975,#11976,#5517,.T.); #15066=EDGE_CURVE('',#11976,#11974,#5518,.T.); #15067=EDGE_CURVE('',#11977,#11975,#5519,.T.); #15068=EDGE_CURVE('',#11977,#11978,#5520,.T.); #15069=EDGE_CURVE('',#11978,#11976,#5521,.T.); #15070=EDGE_CURVE('',#11979,#11977,#10325,.T.); #15071=EDGE_CURVE('',#11979,#11980,#5522,.T.); #15072=EDGE_CURVE('',#11980,#11978,#10326,.T.); #15073=EDGE_CURVE('',#11981,#11979,#5523,.T.); #15074=EDGE_CURVE('',#11981,#11982,#5524,.T.); #15075=EDGE_CURVE('',#11982,#11980,#5525,.T.); #15076=EDGE_CURVE('',#11983,#11981,#5526,.T.); #15077=EDGE_CURVE('',#11983,#11984,#5527,.T.); #15078=EDGE_CURVE('',#11984,#11982,#5528,.T.); #15079=EDGE_CURVE('',#11985,#11983,#5529,.T.); #15080=EDGE_CURVE('',#11985,#11986,#5530,.T.); #15081=EDGE_CURVE('',#11986,#11984,#5531,.T.); #15082=EDGE_CURVE('',#11987,#11985,#10327,.T.); #15083=EDGE_CURVE('',#11987,#11988,#5532,.T.); #15084=EDGE_CURVE('',#11988,#11986,#10328,.T.); #15085=EDGE_CURVE('',#11989,#11987,#5533,.T.); #15086=EDGE_CURVE('',#11989,#11990,#5534,.T.); #15087=EDGE_CURVE('',#11990,#11988,#5535,.T.); #15088=EDGE_CURVE('',#11991,#11989,#5536,.T.); #15089=EDGE_CURVE('',#11991,#11992,#5537,.T.); #15090=EDGE_CURVE('',#11992,#11990,#5538,.T.); #15091=EDGE_CURVE('',#11993,#11991,#10329,.T.); #15092=EDGE_CURVE('',#11993,#11994,#5539,.T.); #15093=EDGE_CURVE('',#11994,#11992,#10330,.T.); #15094=EDGE_CURVE('',#11995,#11993,#5540,.T.); #15095=EDGE_CURVE('',#11995,#11996,#5541,.T.); #15096=EDGE_CURVE('',#11996,#11994,#5542,.T.); #15097=EDGE_CURVE('',#11830,#11995,#5543,.T.); #15098=EDGE_CURVE('',#11832,#11996,#5544,.T.); #15099=EDGE_CURVE('',#11997,#11998,#10331,.T.); #15100=EDGE_CURVE('',#11997,#11999,#5545,.T.); #15101=EDGE_CURVE('',#11999,#12000,#10332,.T.); #15102=EDGE_CURVE('',#11998,#12000,#5546,.T.); #15103=EDGE_CURVE('',#12001,#11997,#10333,.T.); #15104=EDGE_CURVE('',#12001,#12002,#5547,.T.); #15105=EDGE_CURVE('',#12002,#11999,#10334,.T.); #15106=EDGE_CURVE('',#12003,#12001,#5548,.T.); #15107=EDGE_CURVE('',#12003,#12004,#5549,.T.); #15108=EDGE_CURVE('',#12004,#12002,#5550,.T.); #15109=EDGE_CURVE('',#12005,#12003,#10335,.T.); #15110=EDGE_CURVE('',#12005,#12006,#5551,.T.); #15111=EDGE_CURVE('',#12006,#12004,#10336,.T.); #15112=EDGE_CURVE('',#12007,#12005,#10337,.T.); #15113=EDGE_CURVE('',#12007,#12008,#5552,.T.); #15114=EDGE_CURVE('',#12008,#12006,#10338,.T.); #15115=EDGE_CURVE('',#12009,#12007,#10339,.T.); #15116=EDGE_CURVE('',#12009,#12010,#5553,.T.); #15117=EDGE_CURVE('',#12010,#12008,#10340,.T.); #15118=EDGE_CURVE('',#12011,#12009,#10341,.T.); #15119=EDGE_CURVE('',#12011,#12012,#5554,.T.); #15120=EDGE_CURVE('',#12012,#12010,#10342,.T.); #15121=EDGE_CURVE('',#12013,#12011,#10343,.T.); #15122=EDGE_CURVE('',#12013,#12014,#5555,.T.); #15123=EDGE_CURVE('',#12014,#12012,#10344,.T.); #15124=EDGE_CURVE('',#12015,#12013,#10345,.T.); #15125=EDGE_CURVE('',#12015,#12016,#5556,.T.); #15126=EDGE_CURVE('',#12016,#12014,#10346,.T.); #15127=EDGE_CURVE('',#12017,#12015,#10347,.T.); #15128=EDGE_CURVE('',#12017,#12018,#5557,.T.); #15129=EDGE_CURVE('',#12018,#12016,#10348,.T.); #15130=EDGE_CURVE('',#12019,#12017,#5558,.T.); #15131=EDGE_CURVE('',#12019,#12020,#5559,.T.); #15132=EDGE_CURVE('',#12020,#12018,#5560,.T.); #15133=EDGE_CURVE('',#12021,#12019,#10349,.T.); #15134=EDGE_CURVE('',#12021,#12022,#5561,.T.); #15135=EDGE_CURVE('',#12022,#12020,#10350,.T.); #15136=EDGE_CURVE('',#12023,#12021,#5562,.T.); #15137=EDGE_CURVE('',#12023,#12024,#5563,.T.); #15138=EDGE_CURVE('',#12024,#12022,#5564,.T.); #15139=EDGE_CURVE('',#12025,#12023,#5565,.T.); #15140=EDGE_CURVE('',#12025,#12026,#5566,.T.); #15141=EDGE_CURVE('',#12026,#12024,#5567,.T.); #15142=EDGE_CURVE('',#12027,#12025,#5568,.T.); #15143=EDGE_CURVE('',#12027,#12028,#5569,.T.); #15144=EDGE_CURVE('',#12028,#12026,#5570,.T.); #15145=EDGE_CURVE('',#12029,#12027,#10351,.T.); #15146=EDGE_CURVE('',#12029,#12030,#5571,.T.); #15147=EDGE_CURVE('',#12030,#12028,#10352,.T.); #15148=EDGE_CURVE('',#12031,#12029,#5572,.T.); #15149=EDGE_CURVE('',#12031,#12032,#5573,.T.); #15150=EDGE_CURVE('',#12032,#12030,#5574,.T.); #15151=EDGE_CURVE('',#12033,#12031,#5575,.T.); #15152=EDGE_CURVE('',#12033,#12034,#5576,.T.); #15153=EDGE_CURVE('',#12034,#12032,#5577,.T.); #15154=EDGE_CURVE('',#12035,#12033,#5578,.T.); #15155=EDGE_CURVE('',#12035,#12036,#5579,.T.); #15156=EDGE_CURVE('',#12036,#12034,#5580,.T.); #15157=EDGE_CURVE('',#12037,#12035,#5581,.T.); #15158=EDGE_CURVE('',#12037,#12038,#5582,.T.); #15159=EDGE_CURVE('',#12038,#12036,#5583,.T.); #15160=EDGE_CURVE('',#12039,#12037,#5584,.T.); #15161=EDGE_CURVE('',#12039,#12040,#5585,.T.); #15162=EDGE_CURVE('',#12040,#12038,#5586,.T.); #15163=EDGE_CURVE('',#12041,#12039,#10353,.T.); #15164=EDGE_CURVE('',#12041,#12042,#5587,.T.); #15165=EDGE_CURVE('',#12042,#12040,#10354,.T.); #15166=EDGE_CURVE('',#12043,#12041,#10355,.T.); #15167=EDGE_CURVE('',#12043,#12044,#5588,.T.); #15168=EDGE_CURVE('',#12044,#12042,#10356,.T.); #15169=EDGE_CURVE('',#12045,#12043,#10357,.T.); #15170=EDGE_CURVE('',#12045,#12046,#5589,.T.); #15171=EDGE_CURVE('',#12046,#12044,#10358,.T.); #15172=EDGE_CURVE('',#12047,#12045,#10359,.T.); #15173=EDGE_CURVE('',#12047,#12048,#5590,.T.); #15174=EDGE_CURVE('',#12048,#12046,#10360,.T.); #15175=EDGE_CURVE('',#12049,#12047,#10361,.T.); #15176=EDGE_CURVE('',#12049,#12050,#5591,.T.); #15177=EDGE_CURVE('',#12050,#12048,#10362,.T.); #15178=EDGE_CURVE('',#12051,#12049,#10363,.T.); #15179=EDGE_CURVE('',#12051,#12052,#5592,.T.); #15180=EDGE_CURVE('',#12052,#12050,#10364,.T.); #15181=EDGE_CURVE('',#12053,#12051,#10365,.T.); #15182=EDGE_CURVE('',#12053,#12054,#5593,.T.); #15183=EDGE_CURVE('',#12054,#12052,#10366,.T.); #15184=EDGE_CURVE('',#12055,#12053,#5594,.T.); #15185=EDGE_CURVE('',#12055,#12056,#5595,.T.); #15186=EDGE_CURVE('',#12056,#12054,#5596,.T.); #15187=EDGE_CURVE('',#12057,#12055,#10367,.T.); #15188=EDGE_CURVE('',#12057,#12058,#5597,.T.); #15189=EDGE_CURVE('',#12058,#12056,#10368,.T.); #15190=EDGE_CURVE('',#12059,#12057,#10369,.T.); #15191=EDGE_CURVE('',#12059,#12060,#5598,.T.); #15192=EDGE_CURVE('',#12060,#12058,#10370,.T.); #15193=EDGE_CURVE('',#12061,#12059,#10371,.T.); #15194=EDGE_CURVE('',#12061,#12062,#5599,.T.); #15195=EDGE_CURVE('',#12062,#12060,#10372,.T.); #15196=EDGE_CURVE('',#12063,#12061,#10373,.T.); #15197=EDGE_CURVE('',#12063,#12064,#5600,.T.); #15198=EDGE_CURVE('',#12064,#12062,#10374,.T.); #15199=EDGE_CURVE('',#12065,#12063,#10375,.T.); #15200=EDGE_CURVE('',#12065,#12066,#5601,.T.); #15201=EDGE_CURVE('',#12066,#12064,#10376,.T.); #15202=EDGE_CURVE('',#12067,#12065,#10377,.T.); #15203=EDGE_CURVE('',#12067,#12068,#5602,.T.); #15204=EDGE_CURVE('',#12068,#12066,#10378,.T.); #15205=EDGE_CURVE('',#12069,#12067,#10379,.T.); #15206=EDGE_CURVE('',#12069,#12070,#5603,.T.); #15207=EDGE_CURVE('',#12070,#12068,#10380,.T.); #15208=EDGE_CURVE('',#12071,#12069,#5604,.T.); #15209=EDGE_CURVE('',#12071,#12072,#5605,.T.); #15210=EDGE_CURVE('',#12072,#12070,#5606,.T.); #15211=EDGE_CURVE('',#12073,#12071,#10381,.T.); #15212=EDGE_CURVE('',#12073,#12074,#5607,.T.); #15213=EDGE_CURVE('',#12074,#12072,#10382,.T.); #15214=EDGE_CURVE('',#12075,#12073,#5608,.T.); #15215=EDGE_CURVE('',#12075,#12076,#5609,.T.); #15216=EDGE_CURVE('',#12076,#12074,#5610,.T.); #15217=EDGE_CURVE('',#12077,#12075,#5611,.T.); #15218=EDGE_CURVE('',#12077,#12078,#5612,.T.); #15219=EDGE_CURVE('',#12078,#12076,#5613,.T.); #15220=EDGE_CURVE('',#12079,#12077,#5614,.T.); #15221=EDGE_CURVE('',#12079,#12080,#5615,.T.); #15222=EDGE_CURVE('',#12080,#12078,#5616,.T.); #15223=EDGE_CURVE('',#12081,#12079,#5617,.T.); #15224=EDGE_CURVE('',#12081,#12082,#5618,.T.); #15225=EDGE_CURVE('',#12082,#12080,#5619,.T.); #15226=EDGE_CURVE('',#12083,#12081,#10383,.T.); #15227=EDGE_CURVE('',#12083,#12084,#5620,.T.); #15228=EDGE_CURVE('',#12084,#12082,#10384,.T.); #15229=EDGE_CURVE('',#12085,#12083,#5621,.T.); #15230=EDGE_CURVE('',#12085,#12086,#5622,.T.); #15231=EDGE_CURVE('',#12086,#12084,#5623,.T.); #15232=EDGE_CURVE('',#12087,#12085,#5624,.T.); #15233=EDGE_CURVE('',#12087,#12088,#5625,.T.); #15234=EDGE_CURVE('',#12088,#12086,#5626,.T.); #15235=EDGE_CURVE('',#12089,#12087,#5627,.T.); #15236=EDGE_CURVE('',#12089,#12090,#5628,.T.); #15237=EDGE_CURVE('',#12090,#12088,#5629,.T.); #15238=EDGE_CURVE('',#12091,#12089,#5630,.T.); #15239=EDGE_CURVE('',#12091,#12092,#5631,.T.); #15240=EDGE_CURVE('',#12092,#12090,#5632,.T.); #15241=EDGE_CURVE('',#12093,#12091,#10385,.T.); #15242=EDGE_CURVE('',#12093,#12094,#5633,.T.); #15243=EDGE_CURVE('',#12094,#12092,#10386,.T.); #15244=EDGE_CURVE('',#12095,#12093,#10387,.T.); #15245=EDGE_CURVE('',#12095,#12096,#5634,.T.); #15246=EDGE_CURVE('',#12096,#12094,#10388,.T.); #15247=EDGE_CURVE('',#12097,#12095,#10389,.T.); #15248=EDGE_CURVE('',#12097,#12098,#5635,.T.); #15249=EDGE_CURVE('',#12098,#12096,#10390,.T.); #15250=EDGE_CURVE('',#12099,#12097,#5636,.T.); #15251=EDGE_CURVE('',#12099,#12100,#5637,.T.); #15252=EDGE_CURVE('',#12100,#12098,#5638,.T.); #15253=EDGE_CURVE('',#12101,#12099,#10391,.T.); #15254=EDGE_CURVE('',#12101,#12102,#5639,.T.); #15255=EDGE_CURVE('',#12102,#12100,#10392,.T.); #15256=EDGE_CURVE('',#12103,#12101,#10393,.T.); #15257=EDGE_CURVE('',#12103,#12104,#5640,.T.); #15258=EDGE_CURVE('',#12104,#12102,#10394,.T.); #15259=EDGE_CURVE('',#11998,#12103,#10395,.T.); #15260=EDGE_CURVE('',#12000,#12104,#10396,.T.); #15261=EDGE_CURVE('',#12105,#12106,#5641,.T.); #15262=EDGE_CURVE('',#12105,#12107,#5642,.T.); #15263=EDGE_CURVE('',#12107,#12108,#5643,.T.); #15264=EDGE_CURVE('',#12106,#12108,#5644,.T.); #15265=EDGE_CURVE('',#12109,#12105,#5645,.T.); #15266=EDGE_CURVE('',#12109,#12110,#5646,.T.); #15267=EDGE_CURVE('',#12110,#12107,#5647,.T.); #15268=EDGE_CURVE('',#12111,#12109,#10397,.T.); #15269=EDGE_CURVE('',#12111,#12112,#5648,.T.); #15270=EDGE_CURVE('',#12112,#12110,#10398,.T.); #15271=EDGE_CURVE('',#12113,#12111,#5649,.T.); #15272=EDGE_CURVE('',#12113,#12114,#5650,.T.); #15273=EDGE_CURVE('',#12114,#12112,#5651,.T.); #15274=EDGE_CURVE('',#12115,#12113,#5652,.T.); #15275=EDGE_CURVE('',#12115,#12116,#5653,.T.); #15276=EDGE_CURVE('',#12116,#12114,#5654,.T.); #15277=EDGE_CURVE('',#12117,#12115,#5655,.T.); #15278=EDGE_CURVE('',#12117,#12118,#5656,.T.); #15279=EDGE_CURVE('',#12118,#12116,#5657,.T.); #15280=EDGE_CURVE('',#12119,#12117,#5658,.T.); #15281=EDGE_CURVE('',#12119,#12120,#5659,.T.); #15282=EDGE_CURVE('',#12120,#12118,#5660,.T.); #15283=EDGE_CURVE('',#12121,#12119,#5661,.T.); #15284=EDGE_CURVE('',#12121,#12122,#5662,.T.); #15285=EDGE_CURVE('',#12122,#12120,#5663,.T.); #15286=EDGE_CURVE('',#12123,#12121,#5664,.T.); #15287=EDGE_CURVE('',#12123,#12124,#5665,.T.); #15288=EDGE_CURVE('',#12124,#12122,#5666,.T.); #15289=EDGE_CURVE('',#12125,#12123,#5667,.T.); #15290=EDGE_CURVE('',#12125,#12126,#5668,.T.); #15291=EDGE_CURVE('',#12126,#12124,#5669,.T.); #15292=EDGE_CURVE('',#12127,#12125,#10399,.T.); #15293=EDGE_CURVE('',#12127,#12128,#5670,.T.); #15294=EDGE_CURVE('',#12128,#12126,#10400,.T.); #15295=EDGE_CURVE('',#12129,#12127,#5671,.T.); #15296=EDGE_CURVE('',#12129,#12130,#5672,.T.); #15297=EDGE_CURVE('',#12130,#12128,#5673,.T.); #15298=EDGE_CURVE('',#12131,#12129,#5674,.T.); #15299=EDGE_CURVE('',#12131,#12132,#5675,.T.); #15300=EDGE_CURVE('',#12132,#12130,#5676,.T.); #15301=EDGE_CURVE('',#12133,#12131,#5677,.T.); #15302=EDGE_CURVE('',#12133,#12134,#5678,.T.); #15303=EDGE_CURVE('',#12134,#12132,#5679,.T.); #15304=EDGE_CURVE('',#12135,#12133,#5680,.T.); #15305=EDGE_CURVE('',#12135,#12136,#5681,.T.); #15306=EDGE_CURVE('',#12136,#12134,#5682,.T.); #15307=EDGE_CURVE('',#12137,#12135,#5683,.T.); #15308=EDGE_CURVE('',#12137,#12138,#5684,.T.); #15309=EDGE_CURVE('',#12138,#12136,#5685,.T.); #15310=EDGE_CURVE('',#12139,#12137,#10401,.T.); #15311=EDGE_CURVE('',#12139,#12140,#5686,.T.); #15312=EDGE_CURVE('',#12140,#12138,#10402,.T.); #15313=EDGE_CURVE('',#12141,#12139,#5687,.T.); #15314=EDGE_CURVE('',#12141,#12142,#5688,.T.); #15315=EDGE_CURVE('',#12142,#12140,#5689,.T.); #15316=EDGE_CURVE('',#12143,#12141,#5690,.T.); #15317=EDGE_CURVE('',#12143,#12144,#5691,.T.); #15318=EDGE_CURVE('',#12144,#12142,#5692,.T.); #15319=EDGE_CURVE('',#12145,#12143,#5693,.T.); #15320=EDGE_CURVE('',#12145,#12146,#5694,.T.); #15321=EDGE_CURVE('',#12146,#12144,#5695,.T.); #15322=EDGE_CURVE('',#12147,#12145,#5696,.T.); #15323=EDGE_CURVE('',#12147,#12148,#5697,.T.); #15324=EDGE_CURVE('',#12148,#12146,#5698,.T.); #15325=EDGE_CURVE('',#12149,#12147,#10403,.T.); #15326=EDGE_CURVE('',#12149,#12150,#5699,.T.); #15327=EDGE_CURVE('',#12150,#12148,#10404,.T.); #15328=EDGE_CURVE('',#12151,#12149,#5700,.T.); #15329=EDGE_CURVE('',#12151,#12152,#5701,.T.); #15330=EDGE_CURVE('',#12152,#12150,#5702,.T.); #15331=EDGE_CURVE('',#12153,#12151,#5703,.T.); #15332=EDGE_CURVE('',#12153,#12154,#5704,.T.); #15333=EDGE_CURVE('',#12154,#12152,#5705,.T.); #15334=EDGE_CURVE('',#12155,#12153,#5706,.T.); #15335=EDGE_CURVE('',#12155,#12156,#5707,.T.); #15336=EDGE_CURVE('',#12156,#12154,#5708,.T.); #15337=EDGE_CURVE('',#12157,#12155,#5709,.T.); #15338=EDGE_CURVE('',#12157,#12158,#5710,.T.); #15339=EDGE_CURVE('',#12158,#12156,#5711,.T.); #15340=EDGE_CURVE('',#12159,#12157,#5712,.T.); #15341=EDGE_CURVE('',#12159,#12160,#5713,.T.); #15342=EDGE_CURVE('',#12160,#12158,#5714,.T.); #15343=EDGE_CURVE('',#12161,#12159,#5715,.T.); #15344=EDGE_CURVE('',#12161,#12162,#5716,.T.); #15345=EDGE_CURVE('',#12162,#12160,#5717,.T.); #15346=EDGE_CURVE('',#12163,#12161,#5718,.T.); #15347=EDGE_CURVE('',#12163,#12164,#5719,.T.); #15348=EDGE_CURVE('',#12164,#12162,#5720,.T.); #15349=EDGE_CURVE('',#12165,#12163,#5721,.T.); #15350=EDGE_CURVE('',#12165,#12166,#5722,.T.); #15351=EDGE_CURVE('',#12166,#12164,#5723,.T.); #15352=EDGE_CURVE('',#12167,#12165,#5724,.T.); #15353=EDGE_CURVE('',#12167,#12168,#5725,.T.); #15354=EDGE_CURVE('',#12168,#12166,#5726,.T.); #15355=EDGE_CURVE('',#12169,#12167,#5727,.T.); #15356=EDGE_CURVE('',#12169,#12170,#5728,.T.); #15357=EDGE_CURVE('',#12170,#12168,#5729,.T.); #15358=EDGE_CURVE('',#12171,#12169,#10405,.T.); #15359=EDGE_CURVE('',#12171,#12172,#5730,.T.); #15360=EDGE_CURVE('',#12172,#12170,#10406,.T.); #15361=EDGE_CURVE('',#12173,#12171,#5731,.T.); #15362=EDGE_CURVE('',#12173,#12174,#5732,.T.); #15363=EDGE_CURVE('',#12174,#12172,#5733,.T.); #15364=EDGE_CURVE('',#12175,#12173,#5734,.T.); #15365=EDGE_CURVE('',#12175,#12176,#5735,.T.); #15366=EDGE_CURVE('',#12176,#12174,#5736,.T.); #15367=EDGE_CURVE('',#12177,#12175,#5737,.T.); #15368=EDGE_CURVE('',#12177,#12178,#5738,.T.); #15369=EDGE_CURVE('',#12178,#12176,#5739,.T.); #15370=EDGE_CURVE('',#12179,#12177,#5740,.T.); #15371=EDGE_CURVE('',#12179,#12180,#5741,.T.); #15372=EDGE_CURVE('',#12180,#12178,#5742,.T.); #15373=EDGE_CURVE('',#12181,#12179,#5743,.T.); #15374=EDGE_CURVE('',#12181,#12182,#5744,.T.); #15375=EDGE_CURVE('',#12182,#12180,#5745,.T.); #15376=EDGE_CURVE('',#12183,#12181,#5746,.T.); #15377=EDGE_CURVE('',#12183,#12184,#5747,.T.); #15378=EDGE_CURVE('',#12184,#12182,#5748,.T.); #15379=EDGE_CURVE('',#12185,#12183,#5749,.T.); #15380=EDGE_CURVE('',#12185,#12186,#5750,.T.); #15381=EDGE_CURVE('',#12186,#12184,#5751,.T.); #15382=EDGE_CURVE('',#12187,#12185,#5752,.T.); #15383=EDGE_CURVE('',#12187,#12188,#5753,.T.); #15384=EDGE_CURVE('',#12188,#12186,#5754,.T.); #15385=EDGE_CURVE('',#12189,#12187,#5755,.T.); #15386=EDGE_CURVE('',#12189,#12190,#5756,.T.); #15387=EDGE_CURVE('',#12190,#12188,#5757,.T.); #15388=EDGE_CURVE('',#12191,#12189,#10407,.T.); #15389=EDGE_CURVE('',#12191,#12192,#5758,.T.); #15390=EDGE_CURVE('',#12192,#12190,#10408,.T.); #15391=EDGE_CURVE('',#12106,#12191,#5759,.T.); #15392=EDGE_CURVE('',#12108,#12192,#5760,.T.); #15393=EDGE_CURVE('',#12193,#12194,#5761,.T.); #15394=EDGE_CURVE('',#12193,#12195,#5762,.T.); #15395=EDGE_CURVE('',#12195,#12196,#5763,.T.); #15396=EDGE_CURVE('',#12194,#12196,#5764,.T.); #15397=EDGE_CURVE('',#12197,#12193,#5765,.T.); #15398=EDGE_CURVE('',#12197,#12198,#5766,.T.); #15399=EDGE_CURVE('',#12198,#12195,#5767,.T.); #15400=EDGE_CURVE('',#12199,#12197,#10409,.T.); #15401=EDGE_CURVE('',#12199,#12200,#5768,.T.); #15402=EDGE_CURVE('',#12200,#12198,#10410,.T.); #15403=EDGE_CURVE('',#12201,#12199,#5769,.T.); #15404=EDGE_CURVE('',#12201,#12202,#5770,.T.); #15405=EDGE_CURVE('',#12202,#12200,#5771,.T.); #15406=EDGE_CURVE('',#12203,#12201,#5772,.T.); #15407=EDGE_CURVE('',#12203,#12204,#5773,.T.); #15408=EDGE_CURVE('',#12204,#12202,#5774,.T.); #15409=EDGE_CURVE('',#12205,#12203,#5775,.T.); #15410=EDGE_CURVE('',#12205,#12206,#5776,.T.); #15411=EDGE_CURVE('',#12206,#12204,#5777,.T.); #15412=EDGE_CURVE('',#12207,#12205,#5778,.T.); #15413=EDGE_CURVE('',#12207,#12208,#5779,.T.); #15414=EDGE_CURVE('',#12208,#12206,#5780,.T.); #15415=EDGE_CURVE('',#12209,#12207,#5781,.T.); #15416=EDGE_CURVE('',#12209,#12210,#5782,.T.); #15417=EDGE_CURVE('',#12210,#12208,#5783,.T.); #15418=EDGE_CURVE('',#12211,#12209,#5784,.T.); #15419=EDGE_CURVE('',#12211,#12212,#5785,.T.); #15420=EDGE_CURVE('',#12212,#12210,#5786,.T.); #15421=EDGE_CURVE('',#12213,#12211,#5787,.T.); #15422=EDGE_CURVE('',#12213,#12214,#5788,.T.); #15423=EDGE_CURVE('',#12214,#12212,#5789,.T.); #15424=EDGE_CURVE('',#12215,#12213,#5790,.T.); #15425=EDGE_CURVE('',#12215,#12216,#5791,.T.); #15426=EDGE_CURVE('',#12216,#12214,#5792,.T.); #15427=EDGE_CURVE('',#12217,#12215,#5793,.T.); #15428=EDGE_CURVE('',#12217,#12218,#5794,.T.); #15429=EDGE_CURVE('',#12218,#12216,#5795,.T.); #15430=EDGE_CURVE('',#12219,#12217,#5796,.T.); #15431=EDGE_CURVE('',#12219,#12220,#5797,.T.); #15432=EDGE_CURVE('',#12220,#12218,#5798,.T.); #15433=EDGE_CURVE('',#12221,#12219,#5799,.T.); #15434=EDGE_CURVE('',#12221,#12222,#5800,.T.); #15435=EDGE_CURVE('',#12222,#12220,#5801,.T.); #15436=EDGE_CURVE('',#12223,#12221,#5802,.T.); #15437=EDGE_CURVE('',#12223,#12224,#5803,.T.); #15438=EDGE_CURVE('',#12224,#12222,#5804,.T.); #15439=EDGE_CURVE('',#12225,#12223,#5805,.T.); #15440=EDGE_CURVE('',#12225,#12226,#5806,.T.); #15441=EDGE_CURVE('',#12226,#12224,#5807,.T.); #15442=EDGE_CURVE('',#12227,#12225,#5808,.T.); #15443=EDGE_CURVE('',#12227,#12228,#5809,.T.); #15444=EDGE_CURVE('',#12228,#12226,#5810,.T.); #15445=EDGE_CURVE('',#12229,#12227,#5811,.T.); #15446=EDGE_CURVE('',#12229,#12230,#5812,.T.); #15447=EDGE_CURVE('',#12230,#12228,#5813,.T.); #15448=EDGE_CURVE('',#12231,#12229,#5814,.T.); #15449=EDGE_CURVE('',#12231,#12232,#5815,.T.); #15450=EDGE_CURVE('',#12232,#12230,#5816,.T.); #15451=EDGE_CURVE('',#12233,#12231,#5817,.T.); #15452=EDGE_CURVE('',#12233,#12234,#5818,.T.); #15453=EDGE_CURVE('',#12234,#12232,#5819,.T.); #15454=EDGE_CURVE('',#12235,#12233,#10411,.T.); #15455=EDGE_CURVE('',#12235,#12236,#5820,.T.); #15456=EDGE_CURVE('',#12236,#12234,#10412,.T.); #15457=EDGE_CURVE('',#12237,#12235,#5821,.T.); #15458=EDGE_CURVE('',#12237,#12238,#5822,.T.); #15459=EDGE_CURVE('',#12238,#12236,#5823,.T.); #15460=EDGE_CURVE('',#12239,#12237,#5824,.T.); #15461=EDGE_CURVE('',#12239,#12240,#5825,.T.); #15462=EDGE_CURVE('',#12240,#12238,#5826,.T.); #15463=EDGE_CURVE('',#12241,#12239,#5827,.T.); #15464=EDGE_CURVE('',#12241,#12242,#5828,.T.); #15465=EDGE_CURVE('',#12242,#12240,#5829,.T.); #15466=EDGE_CURVE('',#12243,#12241,#5830,.T.); #15467=EDGE_CURVE('',#12243,#12244,#5831,.T.); #15468=EDGE_CURVE('',#12244,#12242,#5832,.T.); #15469=EDGE_CURVE('',#12245,#12243,#5833,.T.); #15470=EDGE_CURVE('',#12245,#12246,#5834,.T.); #15471=EDGE_CURVE('',#12246,#12244,#5835,.T.); #15472=EDGE_CURVE('',#12247,#12245,#5836,.T.); #15473=EDGE_CURVE('',#12247,#12248,#5837,.T.); #15474=EDGE_CURVE('',#12248,#12246,#5838,.T.); #15475=EDGE_CURVE('',#12249,#12247,#10413,.T.); #15476=EDGE_CURVE('',#12249,#12250,#5839,.T.); #15477=EDGE_CURVE('',#12250,#12248,#10414,.T.); #15478=EDGE_CURVE('',#12251,#12249,#5840,.T.); #15479=EDGE_CURVE('',#12251,#12252,#5841,.T.); #15480=EDGE_CURVE('',#12252,#12250,#5842,.T.); #15481=EDGE_CURVE('',#12253,#12251,#5843,.T.); #15482=EDGE_CURVE('',#12253,#12254,#5844,.T.); #15483=EDGE_CURVE('',#12254,#12252,#5845,.T.); #15484=EDGE_CURVE('',#12255,#12253,#5846,.T.); #15485=EDGE_CURVE('',#12255,#12256,#5847,.T.); #15486=EDGE_CURVE('',#12256,#12254,#5848,.T.); #15487=EDGE_CURVE('',#12257,#12255,#5849,.T.); #15488=EDGE_CURVE('',#12257,#12258,#5850,.T.); #15489=EDGE_CURVE('',#12258,#12256,#5851,.T.); #15490=EDGE_CURVE('',#12259,#12257,#5852,.T.); #15491=EDGE_CURVE('',#12259,#12260,#5853,.T.); #15492=EDGE_CURVE('',#12260,#12258,#5854,.T.); #15493=EDGE_CURVE('',#12261,#12259,#5855,.T.); #15494=EDGE_CURVE('',#12261,#12262,#5856,.T.); #15495=EDGE_CURVE('',#12262,#12260,#5857,.T.); #15496=EDGE_CURVE('',#12263,#12261,#5858,.T.); #15497=EDGE_CURVE('',#12263,#12264,#5859,.T.); #15498=EDGE_CURVE('',#12264,#12262,#5860,.T.); #15499=EDGE_CURVE('',#12265,#12263,#5861,.T.); #15500=EDGE_CURVE('',#12265,#12266,#5862,.T.); #15501=EDGE_CURVE('',#12266,#12264,#5863,.T.); #15502=EDGE_CURVE('',#12267,#12265,#5864,.T.); #15503=EDGE_CURVE('',#12267,#12268,#5865,.T.); #15504=EDGE_CURVE('',#12268,#12266,#5866,.T.); #15505=EDGE_CURVE('',#12269,#12267,#5867,.T.); #15506=EDGE_CURVE('',#12269,#12270,#5868,.T.); #15507=EDGE_CURVE('',#12270,#12268,#5869,.T.); #15508=EDGE_CURVE('',#12271,#12269,#5870,.T.); #15509=EDGE_CURVE('',#12271,#12272,#5871,.T.); #15510=EDGE_CURVE('',#12272,#12270,#5872,.T.); #15511=EDGE_CURVE('',#12273,#12271,#5873,.T.); #15512=EDGE_CURVE('',#12273,#12274,#5874,.T.); #15513=EDGE_CURVE('',#12274,#12272,#5875,.T.); #15514=EDGE_CURVE('',#12275,#12273,#5876,.T.); #15515=EDGE_CURVE('',#12275,#12276,#5877,.T.); #15516=EDGE_CURVE('',#12276,#12274,#5878,.T.); #15517=EDGE_CURVE('',#12277,#12275,#5879,.T.); #15518=EDGE_CURVE('',#12277,#12278,#5880,.T.); #15519=EDGE_CURVE('',#12278,#12276,#5881,.T.); #15520=EDGE_CURVE('',#12279,#12277,#5882,.T.); #15521=EDGE_CURVE('',#12279,#12280,#5883,.T.); #15522=EDGE_CURVE('',#12280,#12278,#5884,.T.); #15523=EDGE_CURVE('',#12281,#12279,#5885,.T.); #15524=EDGE_CURVE('',#12281,#12282,#5886,.T.); #15525=EDGE_CURVE('',#12282,#12280,#5887,.T.); #15526=EDGE_CURVE('',#12283,#12281,#10415,.T.); #15527=EDGE_CURVE('',#12283,#12284,#5888,.T.); #15528=EDGE_CURVE('',#12284,#12282,#10416,.T.); #15529=EDGE_CURVE('',#12285,#12283,#5889,.T.); #15530=EDGE_CURVE('',#12285,#12286,#5890,.T.); #15531=EDGE_CURVE('',#12286,#12284,#5891,.T.); #15532=EDGE_CURVE('',#12287,#12285,#5892,.T.); #15533=EDGE_CURVE('',#12287,#12288,#5893,.T.); #15534=EDGE_CURVE('',#12288,#12286,#5894,.T.); #15535=EDGE_CURVE('',#12289,#12287,#5895,.T.); #15536=EDGE_CURVE('',#12289,#12290,#5896,.T.); #15537=EDGE_CURVE('',#12290,#12288,#5897,.T.); #15538=EDGE_CURVE('',#12291,#12289,#5898,.T.); #15539=EDGE_CURVE('',#12291,#12292,#5899,.T.); #15540=EDGE_CURVE('',#12292,#12290,#5900,.T.); #15541=EDGE_CURVE('',#12293,#12291,#5901,.T.); #15542=EDGE_CURVE('',#12293,#12294,#5902,.T.); #15543=EDGE_CURVE('',#12294,#12292,#5903,.T.); #15544=EDGE_CURVE('',#12295,#12293,#5904,.T.); #15545=EDGE_CURVE('',#12295,#12296,#5905,.T.); #15546=EDGE_CURVE('',#12296,#12294,#5906,.T.); #15547=EDGE_CURVE('',#12297,#12295,#10417,.T.); #15548=EDGE_CURVE('',#12297,#12298,#5907,.T.); #15549=EDGE_CURVE('',#12298,#12296,#10418,.T.); #15550=EDGE_CURVE('',#12299,#12297,#10419,.T.); #15551=EDGE_CURVE('',#12299,#12300,#5908,.T.); #15552=EDGE_CURVE('',#12300,#12298,#10420,.T.); #15553=EDGE_CURVE('',#12194,#12299,#5909,.T.); #15554=EDGE_CURVE('',#12196,#12300,#5910,.T.); #15555=EDGE_CURVE('',#12301,#12302,#5911,.T.); #15556=EDGE_CURVE('',#12301,#12303,#5912,.T.); #15557=EDGE_CURVE('',#12303,#12304,#5913,.T.); #15558=EDGE_CURVE('',#12302,#12304,#5914,.T.); #15559=EDGE_CURVE('',#12305,#12301,#5915,.T.); #15560=EDGE_CURVE('',#12305,#12306,#5916,.T.); #15561=EDGE_CURVE('',#12306,#12303,#5917,.T.); #15562=EDGE_CURVE('',#12307,#12305,#5918,.T.); #15563=EDGE_CURVE('',#12307,#12308,#5919,.T.); #15564=EDGE_CURVE('',#12308,#12306,#5920,.T.); #15565=EDGE_CURVE('',#12309,#12307,#10421,.T.); #15566=EDGE_CURVE('',#12309,#12310,#5921,.T.); #15567=EDGE_CURVE('',#12310,#12308,#10422,.T.); #15568=EDGE_CURVE('',#12311,#12309,#5922,.T.); #15569=EDGE_CURVE('',#12311,#12312,#5923,.T.); #15570=EDGE_CURVE('',#12312,#12310,#5924,.T.); #15571=EDGE_CURVE('',#12313,#12311,#5925,.T.); #15572=EDGE_CURVE('',#12313,#12314,#5926,.T.); #15573=EDGE_CURVE('',#12314,#12312,#5927,.T.); #15574=EDGE_CURVE('',#12315,#12313,#10423,.T.); #15575=EDGE_CURVE('',#12315,#12316,#5928,.T.); #15576=EDGE_CURVE('',#12316,#12314,#10424,.T.); #15577=EDGE_CURVE('',#12317,#12315,#5929,.T.); #15578=EDGE_CURVE('',#12317,#12318,#5930,.T.); #15579=EDGE_CURVE('',#12318,#12316,#5931,.T.); #15580=EDGE_CURVE('',#12319,#12317,#5932,.T.); #15581=EDGE_CURVE('',#12319,#12320,#5933,.T.); #15582=EDGE_CURVE('',#12320,#12318,#5934,.T.); #15583=EDGE_CURVE('',#12321,#12319,#10425,.T.); #15584=EDGE_CURVE('',#12321,#12322,#5935,.T.); #15585=EDGE_CURVE('',#12322,#12320,#10426,.T.); #15586=EDGE_CURVE('',#12323,#12321,#10427,.T.); #15587=EDGE_CURVE('',#12323,#12324,#5936,.T.); #15588=EDGE_CURVE('',#12324,#12322,#10428,.T.); #15589=EDGE_CURVE('',#12325,#12323,#5937,.T.); #15590=EDGE_CURVE('',#12325,#12326,#5938,.T.); #15591=EDGE_CURVE('',#12326,#12324,#5939,.T.); #15592=EDGE_CURVE('',#12327,#12325,#5940,.T.); #15593=EDGE_CURVE('',#12327,#12328,#5941,.T.); #15594=EDGE_CURVE('',#12328,#12326,#5942,.T.); #15595=EDGE_CURVE('',#12329,#12327,#10429,.T.); #15596=EDGE_CURVE('',#12329,#12330,#5943,.T.); #15597=EDGE_CURVE('',#12330,#12328,#10430,.T.); #15598=EDGE_CURVE('',#12331,#12329,#10431,.T.); #15599=EDGE_CURVE('',#12331,#12332,#5944,.T.); #15600=EDGE_CURVE('',#12332,#12330,#10432,.T.); #15601=EDGE_CURVE('',#12333,#12331,#5945,.T.); #15602=EDGE_CURVE('',#12333,#12334,#5946,.T.); #15603=EDGE_CURVE('',#12334,#12332,#5947,.T.); #15604=EDGE_CURVE('',#12335,#12333,#5948,.T.); #15605=EDGE_CURVE('',#12335,#12336,#5949,.T.); #15606=EDGE_CURVE('',#12336,#12334,#5950,.T.); #15607=EDGE_CURVE('',#12337,#12335,#5951,.T.); #15608=EDGE_CURVE('',#12337,#12338,#5952,.T.); #15609=EDGE_CURVE('',#12338,#12336,#5953,.T.); #15610=EDGE_CURVE('',#12339,#12337,#5954,.T.); #15611=EDGE_CURVE('',#12339,#12340,#5955,.T.); #15612=EDGE_CURVE('',#12340,#12338,#5956,.T.); #15613=EDGE_CURVE('',#12341,#12339,#10433,.T.); #15614=EDGE_CURVE('',#12341,#12342,#5957,.T.); #15615=EDGE_CURVE('',#12342,#12340,#10434,.T.); #15616=EDGE_CURVE('',#12343,#12341,#10435,.T.); #15617=EDGE_CURVE('',#12343,#12344,#5958,.T.); #15618=EDGE_CURVE('',#12344,#12342,#10436,.T.); #15619=EDGE_CURVE('',#12345,#12343,#5959,.T.); #15620=EDGE_CURVE('',#12345,#12346,#5960,.T.); #15621=EDGE_CURVE('',#12346,#12344,#5961,.T.); #15622=EDGE_CURVE('',#12347,#12345,#5962,.T.); #15623=EDGE_CURVE('',#12347,#12348,#5963,.T.); #15624=EDGE_CURVE('',#12348,#12346,#5964,.T.); #15625=EDGE_CURVE('',#12349,#12347,#10437,.T.); #15626=EDGE_CURVE('',#12349,#12350,#5965,.T.); #15627=EDGE_CURVE('',#12350,#12348,#10438,.T.); #15628=EDGE_CURVE('',#12351,#12349,#5966,.T.); #15629=EDGE_CURVE('',#12351,#12352,#5967,.T.); #15630=EDGE_CURVE('',#12352,#12350,#5968,.T.); #15631=EDGE_CURVE('',#12353,#12351,#10439,.T.); #15632=EDGE_CURVE('',#12353,#12354,#5969,.T.); #15633=EDGE_CURVE('',#12354,#12352,#10440,.T.); #15634=EDGE_CURVE('',#12355,#12353,#10441,.T.); #15635=EDGE_CURVE('',#12355,#12356,#5970,.T.); #15636=EDGE_CURVE('',#12356,#12354,#10442,.T.); #15637=EDGE_CURVE('',#12357,#12355,#5971,.T.); #15638=EDGE_CURVE('',#12357,#12358,#5972,.T.); #15639=EDGE_CURVE('',#12358,#12356,#5973,.T.); #15640=EDGE_CURVE('',#12359,#12357,#5974,.T.); #15641=EDGE_CURVE('',#12359,#12360,#5975,.T.); #15642=EDGE_CURVE('',#12360,#12358,#5976,.T.); #15643=EDGE_CURVE('',#12361,#12359,#10443,.T.); #15644=EDGE_CURVE('',#12361,#12362,#5977,.T.); #15645=EDGE_CURVE('',#12362,#12360,#10444,.T.); #15646=EDGE_CURVE('',#12363,#12361,#10445,.T.); #15647=EDGE_CURVE('',#12363,#12364,#5978,.T.); #15648=EDGE_CURVE('',#12364,#12362,#10446,.T.); #15649=EDGE_CURVE('',#12365,#12363,#10447,.T.); #15650=EDGE_CURVE('',#12365,#12366,#5979,.T.); #15651=EDGE_CURVE('',#12366,#12364,#10448,.T.); #15652=EDGE_CURVE('',#12367,#12365,#5980,.T.); #15653=EDGE_CURVE('',#12367,#12368,#5981,.T.); #15654=EDGE_CURVE('',#12368,#12366,#5982,.T.); #15655=EDGE_CURVE('',#12369,#12367,#10449,.T.); #15656=EDGE_CURVE('',#12369,#12370,#5983,.T.); #15657=EDGE_CURVE('',#12370,#12368,#10450,.T.); #15658=EDGE_CURVE('',#12371,#12369,#5984,.T.); #15659=EDGE_CURVE('',#12371,#12372,#5985,.T.); #15660=EDGE_CURVE('',#12372,#12370,#5986,.T.); #15661=EDGE_CURVE('',#12373,#12371,#5987,.T.); #15662=EDGE_CURVE('',#12373,#12374,#5988,.T.); #15663=EDGE_CURVE('',#12374,#12372,#5989,.T.); #15664=EDGE_CURVE('',#12375,#12373,#5990,.T.); #15665=EDGE_CURVE('',#12375,#12376,#5991,.T.); #15666=EDGE_CURVE('',#12376,#12374,#5992,.T.); #15667=EDGE_CURVE('',#12377,#12375,#10451,.T.); #15668=EDGE_CURVE('',#12377,#12378,#5993,.T.); #15669=EDGE_CURVE('',#12378,#12376,#10452,.T.); #15670=EDGE_CURVE('',#12379,#12377,#5994,.T.); #15671=EDGE_CURVE('',#12379,#12380,#5995,.T.); #15672=EDGE_CURVE('',#12380,#12378,#5996,.T.); #15673=EDGE_CURVE('',#12381,#12379,#5997,.T.); #15674=EDGE_CURVE('',#12381,#12382,#5998,.T.); #15675=EDGE_CURVE('',#12382,#12380,#5999,.T.); #15676=EDGE_CURVE('',#12383,#12381,#6000,.T.); #15677=EDGE_CURVE('',#12383,#12384,#6001,.T.); #15678=EDGE_CURVE('',#12384,#12382,#6002,.T.); #15679=EDGE_CURVE('',#12302,#12383,#10453,.T.); #15680=EDGE_CURVE('',#12304,#12384,#10454,.T.); #15681=EDGE_CURVE('',#12385,#12386,#10455,.T.); #15682=EDGE_CURVE('',#12385,#12387,#6003,.T.); #15683=EDGE_CURVE('',#12387,#12388,#10456,.T.); #15684=EDGE_CURVE('',#12386,#12388,#6004,.T.); #15685=EDGE_CURVE('',#12389,#12385,#10457,.T.); #15686=EDGE_CURVE('',#12389,#12390,#6005,.T.); #15687=EDGE_CURVE('',#12390,#12387,#10458,.T.); #15688=EDGE_CURVE('',#12391,#12389,#10459,.T.); #15689=EDGE_CURVE('',#12391,#12392,#6006,.T.); #15690=EDGE_CURVE('',#12392,#12390,#10460,.T.); #15691=EDGE_CURVE('',#12393,#12391,#10461,.T.); #15692=EDGE_CURVE('',#12393,#12394,#6007,.T.); #15693=EDGE_CURVE('',#12394,#12392,#10462,.T.); #15694=EDGE_CURVE('',#12395,#12393,#6008,.T.); #15695=EDGE_CURVE('',#12395,#12396,#6009,.T.); #15696=EDGE_CURVE('',#12396,#12394,#6010,.T.); #15697=EDGE_CURVE('',#12397,#12395,#10463,.T.); #15698=EDGE_CURVE('',#12397,#12398,#6011,.T.); #15699=EDGE_CURVE('',#12398,#12396,#10464,.T.); #15700=EDGE_CURVE('',#12399,#12397,#10465,.T.); #15701=EDGE_CURVE('',#12399,#12400,#6012,.T.); #15702=EDGE_CURVE('',#12400,#12398,#10466,.T.); #15703=EDGE_CURVE('',#12401,#12399,#10467,.T.); #15704=EDGE_CURVE('',#12401,#12402,#6013,.T.); #15705=EDGE_CURVE('',#12402,#12400,#10468,.T.); #15706=EDGE_CURVE('',#12386,#12401,#10469,.T.); #15707=EDGE_CURVE('',#12388,#12402,#10470,.T.); #15708=EDGE_CURVE('',#12403,#12404,#10471,.T.); #15709=EDGE_CURVE('',#12403,#12405,#6014,.T.); #15710=EDGE_CURVE('',#12405,#12406,#10472,.T.); #15711=EDGE_CURVE('',#12404,#12406,#6015,.T.); #15712=EDGE_CURVE('',#12407,#12403,#10473,.T.); #15713=EDGE_CURVE('',#12407,#12408,#6016,.T.); #15714=EDGE_CURVE('',#12408,#12405,#10474,.T.); #15715=EDGE_CURVE('',#12409,#12407,#10475,.T.); #15716=EDGE_CURVE('',#12409,#12410,#6017,.T.); #15717=EDGE_CURVE('',#12410,#12408,#10476,.T.); #15718=EDGE_CURVE('',#12411,#12409,#10477,.T.); #15719=EDGE_CURVE('',#12411,#12412,#6018,.T.); #15720=EDGE_CURVE('',#12412,#12410,#10478,.T.); #15721=EDGE_CURVE('',#12413,#12411,#6019,.T.); #15722=EDGE_CURVE('',#12413,#12414,#6020,.T.); #15723=EDGE_CURVE('',#12414,#12412,#6021,.T.); #15724=EDGE_CURVE('',#12415,#12413,#6022,.T.); #15725=EDGE_CURVE('',#12415,#12416,#6023,.T.); #15726=EDGE_CURVE('',#12416,#12414,#6024,.T.); #15727=EDGE_CURVE('',#12417,#12415,#10479,.T.); #15728=EDGE_CURVE('',#12417,#12418,#6025,.T.); #15729=EDGE_CURVE('',#12418,#12416,#10480,.T.); #15730=EDGE_CURVE('',#12419,#12417,#10481,.T.); #15731=EDGE_CURVE('',#12419,#12420,#6026,.T.); #15732=EDGE_CURVE('',#12420,#12418,#10482,.T.); #15733=EDGE_CURVE('',#12421,#12419,#10483,.T.); #15734=EDGE_CURVE('',#12421,#12422,#6027,.T.); #15735=EDGE_CURVE('',#12422,#12420,#10484,.T.); #15736=EDGE_CURVE('',#12423,#12421,#10485,.T.); #15737=EDGE_CURVE('',#12423,#12424,#6028,.T.); #15738=EDGE_CURVE('',#12424,#12422,#10486,.T.); #15739=EDGE_CURVE('',#12404,#12423,#10487,.T.); #15740=EDGE_CURVE('',#12406,#12424,#10488,.T.); #15741=EDGE_CURVE('',#12425,#12426,#6029,.T.); #15742=EDGE_CURVE('',#12425,#12427,#6030,.T.); #15743=EDGE_CURVE('',#12427,#12428,#6031,.T.); #15744=EDGE_CURVE('',#12426,#12428,#6032,.T.); #15745=EDGE_CURVE('',#12429,#12425,#10489,.T.); #15746=EDGE_CURVE('',#12429,#12430,#6033,.T.); #15747=EDGE_CURVE('',#12430,#12427,#10490,.T.); #15748=EDGE_CURVE('',#12431,#12429,#10491,.T.); #15749=EDGE_CURVE('',#12431,#12432,#6034,.T.); #15750=EDGE_CURVE('',#12432,#12430,#10492,.T.); #15751=EDGE_CURVE('',#12433,#12431,#6035,.T.); #15752=EDGE_CURVE('',#12433,#12434,#6036,.T.); #15753=EDGE_CURVE('',#12434,#12432,#6037,.T.); #15754=EDGE_CURVE('',#12435,#12433,#10493,.T.); #15755=EDGE_CURVE('',#12435,#12436,#6038,.T.); #15756=EDGE_CURVE('',#12436,#12434,#10494,.T.); #15757=EDGE_CURVE('',#12437,#12435,#10495,.T.); #15758=EDGE_CURVE('',#12437,#12438,#6039,.T.); #15759=EDGE_CURVE('',#12438,#12436,#10496,.T.); #15760=EDGE_CURVE('',#12439,#12437,#6040,.T.); #15761=EDGE_CURVE('',#12439,#12440,#6041,.T.); #15762=EDGE_CURVE('',#12440,#12438,#6042,.T.); #15763=EDGE_CURVE('',#12441,#12439,#10497,.T.); #15764=EDGE_CURVE('',#12441,#12442,#6043,.T.); #15765=EDGE_CURVE('',#12442,#12440,#10498,.T.); #15766=EDGE_CURVE('',#12443,#12441,#6044,.T.); #15767=EDGE_CURVE('',#12443,#12444,#6045,.T.); #15768=EDGE_CURVE('',#12444,#12442,#6046,.T.); #15769=EDGE_CURVE('',#12445,#12443,#6047,.T.); #15770=EDGE_CURVE('',#12445,#12446,#6048,.T.); #15771=EDGE_CURVE('',#12446,#12444,#6049,.T.); #15772=EDGE_CURVE('',#12447,#12445,#6050,.T.); #15773=EDGE_CURVE('',#12447,#12448,#6051,.T.); #15774=EDGE_CURVE('',#12448,#12446,#6052,.T.); #15775=EDGE_CURVE('',#12449,#12447,#10499,.T.); #15776=EDGE_CURVE('',#12449,#12450,#6053,.T.); #15777=EDGE_CURVE('',#12450,#12448,#10500,.T.); #15778=EDGE_CURVE('',#12451,#12449,#6054,.T.); #15779=EDGE_CURVE('',#12451,#12452,#6055,.T.); #15780=EDGE_CURVE('',#12452,#12450,#6056,.T.); #15781=EDGE_CURVE('',#12453,#12451,#6057,.T.); #15782=EDGE_CURVE('',#12453,#12454,#6058,.T.); #15783=EDGE_CURVE('',#12454,#12452,#6059,.T.); #15784=EDGE_CURVE('',#12455,#12453,#6060,.T.); #15785=EDGE_CURVE('',#12455,#12456,#6061,.T.); #15786=EDGE_CURVE('',#12456,#12454,#6062,.T.); #15787=EDGE_CURVE('',#12457,#12455,#6063,.T.); #15788=EDGE_CURVE('',#12457,#12458,#6064,.T.); #15789=EDGE_CURVE('',#12458,#12456,#6065,.T.); #15790=EDGE_CURVE('',#12459,#12457,#10501,.T.); #15791=EDGE_CURVE('',#12459,#12460,#6066,.T.); #15792=EDGE_CURVE('',#12460,#12458,#10502,.T.); #15793=EDGE_CURVE('',#12461,#12459,#6067,.T.); #15794=EDGE_CURVE('',#12461,#12462,#6068,.T.); #15795=EDGE_CURVE('',#12462,#12460,#6069,.T.); #15796=EDGE_CURVE('',#12463,#12461,#10503,.T.); #15797=EDGE_CURVE('',#12463,#12464,#6070,.T.); #15798=EDGE_CURVE('',#12464,#12462,#10504,.T.); #15799=EDGE_CURVE('',#12465,#12463,#10505,.T.); #15800=EDGE_CURVE('',#12465,#12466,#6071,.T.); #15801=EDGE_CURVE('',#12466,#12464,#10506,.T.); #15802=EDGE_CURVE('',#12467,#12465,#6072,.T.); #15803=EDGE_CURVE('',#12467,#12468,#6073,.T.); #15804=EDGE_CURVE('',#12468,#12466,#6074,.T.); #15805=EDGE_CURVE('',#12469,#12467,#6075,.T.); #15806=EDGE_CURVE('',#12469,#12470,#6076,.T.); #15807=EDGE_CURVE('',#12470,#12468,#6077,.T.); #15808=EDGE_CURVE('',#12471,#12469,#6078,.T.); #15809=EDGE_CURVE('',#12471,#12472,#6079,.T.); #15810=EDGE_CURVE('',#12472,#12470,#6080,.T.); #15811=EDGE_CURVE('',#12473,#12471,#6081,.T.); #15812=EDGE_CURVE('',#12473,#12474,#6082,.T.); #15813=EDGE_CURVE('',#12474,#12472,#6083,.T.); #15814=EDGE_CURVE('',#12475,#12473,#6084,.T.); #15815=EDGE_CURVE('',#12475,#12476,#6085,.T.); #15816=EDGE_CURVE('',#12476,#12474,#6086,.T.); #15817=EDGE_CURVE('',#12477,#12475,#10507,.T.); #15818=EDGE_CURVE('',#12477,#12478,#6087,.T.); #15819=EDGE_CURVE('',#12478,#12476,#10508,.T.); #15820=EDGE_CURVE('',#12479,#12477,#10509,.T.); #15821=EDGE_CURVE('',#12479,#12480,#6088,.T.); #15822=EDGE_CURVE('',#12480,#12478,#10510,.T.); #15823=EDGE_CURVE('',#12481,#12479,#10511,.T.); #15824=EDGE_CURVE('',#12481,#12482,#6089,.T.); #15825=EDGE_CURVE('',#12482,#12480,#10512,.T.); #15826=EDGE_CURVE('',#12483,#12481,#6090,.T.); #15827=EDGE_CURVE('',#12483,#12484,#6091,.T.); #15828=EDGE_CURVE('',#12484,#12482,#6092,.T.); #15829=EDGE_CURVE('',#12485,#12483,#10513,.T.); #15830=EDGE_CURVE('',#12485,#12486,#6093,.T.); #15831=EDGE_CURVE('',#12486,#12484,#10514,.T.); #15832=EDGE_CURVE('',#12487,#12485,#10515,.T.); #15833=EDGE_CURVE('',#12487,#12488,#6094,.T.); #15834=EDGE_CURVE('',#12488,#12486,#10516,.T.); #15835=EDGE_CURVE('',#12489,#12487,#10517,.T.); #15836=EDGE_CURVE('',#12489,#12490,#6095,.T.); #15837=EDGE_CURVE('',#12490,#12488,#10518,.T.); #15838=EDGE_CURVE('',#12491,#12489,#6096,.T.); #15839=EDGE_CURVE('',#12491,#12492,#6097,.T.); #15840=EDGE_CURVE('',#12492,#12490,#6098,.T.); #15841=EDGE_CURVE('',#12493,#12491,#10519,.T.); #15842=EDGE_CURVE('',#12493,#12494,#6099,.T.); #15843=EDGE_CURVE('',#12494,#12492,#10520,.T.); #15844=EDGE_CURVE('',#12495,#12493,#6100,.T.); #15845=EDGE_CURVE('',#12495,#12496,#6101,.T.); #15846=EDGE_CURVE('',#12496,#12494,#6102,.T.); #15847=EDGE_CURVE('',#12497,#12495,#6103,.T.); #15848=EDGE_CURVE('',#12497,#12498,#6104,.T.); #15849=EDGE_CURVE('',#12498,#12496,#6105,.T.); #15850=EDGE_CURVE('',#12499,#12497,#6106,.T.); #15851=EDGE_CURVE('',#12499,#12500,#6107,.T.); #15852=EDGE_CURVE('',#12500,#12498,#6108,.T.); #15853=EDGE_CURVE('',#12501,#12499,#6109,.T.); #15854=EDGE_CURVE('',#12501,#12502,#6110,.T.); #15855=EDGE_CURVE('',#12502,#12500,#6111,.T.); #15856=EDGE_CURVE('',#12503,#12501,#6112,.T.); #15857=EDGE_CURVE('',#12503,#12504,#6113,.T.); #15858=EDGE_CURVE('',#12504,#12502,#6114,.T.); #15859=EDGE_CURVE('',#12505,#12503,#6115,.T.); #15860=EDGE_CURVE('',#12505,#12506,#6116,.T.); #15861=EDGE_CURVE('',#12506,#12504,#6117,.T.); #15862=EDGE_CURVE('',#12507,#12505,#6118,.T.); #15863=EDGE_CURVE('',#12507,#12508,#6119,.T.); #15864=EDGE_CURVE('',#12508,#12506,#6120,.T.); #15865=EDGE_CURVE('',#12509,#12507,#10521,.T.); #15866=EDGE_CURVE('',#12509,#12510,#6121,.T.); #15867=EDGE_CURVE('',#12510,#12508,#10522,.T.); #15868=EDGE_CURVE('',#12511,#12509,#6122,.T.); #15869=EDGE_CURVE('',#12511,#12512,#6123,.T.); #15870=EDGE_CURVE('',#12512,#12510,#6124,.T.); #15871=EDGE_CURVE('',#12513,#12511,#6125,.T.); #15872=EDGE_CURVE('',#12513,#12514,#6126,.T.); #15873=EDGE_CURVE('',#12514,#12512,#6127,.T.); #15874=EDGE_CURVE('',#12515,#12513,#6128,.T.); #15875=EDGE_CURVE('',#12515,#12516,#6129,.T.); #15876=EDGE_CURVE('',#12516,#12514,#6130,.T.); #15877=EDGE_CURVE('',#12426,#12515,#10523,.T.); #15878=EDGE_CURVE('',#12428,#12516,#10524,.T.); #15879=EDGE_CURVE('',#12517,#12518,#6131,.T.); #15880=EDGE_CURVE('',#12517,#12519,#6132,.T.); #15881=EDGE_CURVE('',#12519,#12520,#6133,.T.); #15882=EDGE_CURVE('',#12518,#12520,#6134,.T.); #15883=EDGE_CURVE('',#12521,#12517,#6135,.T.); #15884=EDGE_CURVE('',#12521,#12522,#6136,.T.); #15885=EDGE_CURVE('',#12522,#12519,#6137,.T.); #15886=EDGE_CURVE('',#12523,#12521,#6138,.T.); #15887=EDGE_CURVE('',#12523,#12524,#6139,.T.); #15888=EDGE_CURVE('',#12524,#12522,#6140,.T.); #15889=EDGE_CURVE('',#12525,#12523,#6141,.T.); #15890=EDGE_CURVE('',#12525,#12526,#6142,.T.); #15891=EDGE_CURVE('',#12526,#12524,#6143,.T.); #15892=EDGE_CURVE('',#12527,#12525,#6144,.T.); #15893=EDGE_CURVE('',#12527,#12528,#6145,.T.); #15894=EDGE_CURVE('',#12528,#12526,#6146,.T.); #15895=EDGE_CURVE('',#12529,#12527,#6147,.T.); #15896=EDGE_CURVE('',#12529,#12530,#6148,.T.); #15897=EDGE_CURVE('',#12530,#12528,#6149,.T.); #15898=EDGE_CURVE('',#12531,#12529,#6150,.T.); #15899=EDGE_CURVE('',#12531,#12532,#6151,.T.); #15900=EDGE_CURVE('',#12532,#12530,#6152,.T.); #15901=EDGE_CURVE('',#12533,#12531,#6153,.T.); #15902=EDGE_CURVE('',#12533,#12534,#6154,.T.); #15903=EDGE_CURVE('',#12534,#12532,#6155,.T.); #15904=EDGE_CURVE('',#12535,#12533,#6156,.T.); #15905=EDGE_CURVE('',#12535,#12536,#6157,.T.); #15906=EDGE_CURVE('',#12536,#12534,#6158,.T.); #15907=EDGE_CURVE('',#12537,#12535,#10525,.T.); #15908=EDGE_CURVE('',#12537,#12538,#6159,.T.); #15909=EDGE_CURVE('',#12538,#12536,#10526,.T.); #15910=EDGE_CURVE('',#12539,#12537,#6160,.T.); #15911=EDGE_CURVE('',#12539,#12540,#6161,.T.); #15912=EDGE_CURVE('',#12540,#12538,#6162,.T.); #15913=EDGE_CURVE('',#12541,#12539,#6163,.T.); #15914=EDGE_CURVE('',#12541,#12542,#6164,.T.); #15915=EDGE_CURVE('',#12542,#12540,#6165,.T.); #15916=EDGE_CURVE('',#12543,#12541,#6166,.T.); #15917=EDGE_CURVE('',#12543,#12544,#6167,.T.); #15918=EDGE_CURVE('',#12544,#12542,#6168,.T.); #15919=EDGE_CURVE('',#12545,#12543,#6169,.T.); #15920=EDGE_CURVE('',#12545,#12546,#6170,.T.); #15921=EDGE_CURVE('',#12546,#12544,#6171,.T.); #15922=EDGE_CURVE('',#12547,#12545,#10527,.T.); #15923=EDGE_CURVE('',#12547,#12548,#6172,.T.); #15924=EDGE_CURVE('',#12548,#12546,#10528,.T.); #15925=EDGE_CURVE('',#12549,#12547,#6173,.T.); #15926=EDGE_CURVE('',#12549,#12550,#6174,.T.); #15927=EDGE_CURVE('',#12550,#12548,#6175,.T.); #15928=EDGE_CURVE('',#12551,#12549,#6176,.T.); #15929=EDGE_CURVE('',#12551,#12552,#6177,.T.); #15930=EDGE_CURVE('',#12552,#12550,#6178,.T.); #15931=EDGE_CURVE('',#12553,#12551,#6179,.T.); #15932=EDGE_CURVE('',#12553,#12554,#6180,.T.); #15933=EDGE_CURVE('',#12554,#12552,#6181,.T.); #15934=EDGE_CURVE('',#12555,#12553,#10529,.T.); #15935=EDGE_CURVE('',#12555,#12556,#6182,.T.); #15936=EDGE_CURVE('',#12556,#12554,#10530,.T.); #15937=EDGE_CURVE('',#12557,#12555,#6183,.T.); #15938=EDGE_CURVE('',#12557,#12558,#6184,.T.); #15939=EDGE_CURVE('',#12558,#12556,#6185,.T.); #15940=EDGE_CURVE('',#12559,#12557,#6186,.T.); #15941=EDGE_CURVE('',#12559,#12560,#6187,.T.); #15942=EDGE_CURVE('',#12560,#12558,#6188,.T.); #15943=EDGE_CURVE('',#12561,#12559,#6189,.T.); #15944=EDGE_CURVE('',#12561,#12562,#6190,.T.); #15945=EDGE_CURVE('',#12562,#12560,#6191,.T.); #15946=EDGE_CURVE('',#12563,#12561,#6192,.T.); #15947=EDGE_CURVE('',#12563,#12564,#6193,.T.); #15948=EDGE_CURVE('',#12564,#12562,#6194,.T.); #15949=EDGE_CURVE('',#12518,#12563,#10531,.T.); #15950=EDGE_CURVE('',#12520,#12564,#10532,.T.); #15951=EDGE_CURVE('',#12565,#12566,#10533,.T.); #15952=EDGE_CURVE('',#12565,#12567,#6195,.T.); #15953=EDGE_CURVE('',#12567,#12568,#10534,.T.); #15954=EDGE_CURVE('',#12566,#12568,#6196,.T.); #15955=EDGE_CURVE('',#12569,#12565,#10535,.T.); #15956=EDGE_CURVE('',#12569,#12570,#6197,.T.); #15957=EDGE_CURVE('',#12570,#12567,#10536,.T.); #15958=EDGE_CURVE('',#12571,#12569,#10537,.T.); #15959=EDGE_CURVE('',#12571,#12572,#6198,.T.); #15960=EDGE_CURVE('',#12572,#12570,#10538,.T.); #15961=EDGE_CURVE('',#12573,#12571,#10539,.T.); #15962=EDGE_CURVE('',#12573,#12574,#6199,.T.); #15963=EDGE_CURVE('',#12574,#12572,#10540,.T.); #15964=EDGE_CURVE('',#12575,#12573,#10541,.T.); #15965=EDGE_CURVE('',#12575,#12576,#6200,.T.); #15966=EDGE_CURVE('',#12576,#12574,#10542,.T.); #15967=EDGE_CURVE('',#12577,#12575,#6201,.T.); #15968=EDGE_CURVE('',#12577,#12578,#6202,.T.); #15969=EDGE_CURVE('',#12578,#12576,#6203,.T.); #15970=EDGE_CURVE('',#12579,#12577,#6204,.T.); #15971=EDGE_CURVE('',#12579,#12580,#6205,.T.); #15972=EDGE_CURVE('',#12580,#12578,#6206,.T.); #15973=EDGE_CURVE('',#12581,#12579,#6207,.T.); #15974=EDGE_CURVE('',#12581,#12582,#6208,.T.); #15975=EDGE_CURVE('',#12582,#12580,#6209,.T.); #15976=EDGE_CURVE('',#12566,#12581,#6210,.T.); #15977=EDGE_CURVE('',#12568,#12582,#6211,.T.); #15978=EDGE_CURVE('',#12583,#12584,#6212,.T.); #15979=EDGE_CURVE('',#12583,#12585,#6213,.T.); #15980=EDGE_CURVE('',#12585,#12586,#6214,.T.); #15981=EDGE_CURVE('',#12584,#12586,#6215,.T.); #15982=EDGE_CURVE('',#12587,#12583,#6216,.T.); #15983=EDGE_CURVE('',#12587,#12588,#6217,.T.); #15984=EDGE_CURVE('',#12588,#12585,#6218,.T.); #15985=EDGE_CURVE('',#12589,#12587,#6219,.T.); #15986=EDGE_CURVE('',#12589,#12590,#6220,.T.); #15987=EDGE_CURVE('',#12590,#12588,#6221,.T.); #15988=EDGE_CURVE('',#12591,#12589,#6222,.T.); #15989=EDGE_CURVE('',#12591,#12592,#6223,.T.); #15990=EDGE_CURVE('',#12592,#12590,#6224,.T.); #15991=EDGE_CURVE('',#12593,#12591,#6225,.T.); #15992=EDGE_CURVE('',#12593,#12594,#6226,.T.); #15993=EDGE_CURVE('',#12594,#12592,#6227,.T.); #15994=EDGE_CURVE('',#12595,#12593,#6228,.T.); #15995=EDGE_CURVE('',#12595,#12596,#6229,.T.); #15996=EDGE_CURVE('',#12596,#12594,#6230,.T.); #15997=EDGE_CURVE('',#12597,#12595,#6231,.T.); #15998=EDGE_CURVE('',#12597,#12598,#6232,.T.); #15999=EDGE_CURVE('',#12598,#12596,#6233,.T.); #16000=EDGE_CURVE('',#12599,#12597,#10543,.T.); #16001=EDGE_CURVE('',#12599,#12600,#6234,.T.); #16002=EDGE_CURVE('',#12600,#12598,#10544,.T.); #16003=EDGE_CURVE('',#12601,#12599,#6235,.T.); #16004=EDGE_CURVE('',#12601,#12602,#6236,.T.); #16005=EDGE_CURVE('',#12602,#12600,#6237,.T.); #16006=EDGE_CURVE('',#12603,#12601,#10545,.T.); #16007=EDGE_CURVE('',#12603,#12604,#6238,.T.); #16008=EDGE_CURVE('',#12604,#12602,#10546,.T.); #16009=EDGE_CURVE('',#12605,#12603,#10547,.T.); #16010=EDGE_CURVE('',#12605,#12606,#6239,.T.); #16011=EDGE_CURVE('',#12606,#12604,#10548,.T.); #16012=EDGE_CURVE('',#12607,#12605,#6240,.T.); #16013=EDGE_CURVE('',#12607,#12608,#6241,.T.); #16014=EDGE_CURVE('',#12608,#12606,#6242,.T.); #16015=EDGE_CURVE('',#12609,#12607,#10549,.T.); #16016=EDGE_CURVE('',#12609,#12610,#6243,.T.); #16017=EDGE_CURVE('',#12610,#12608,#10550,.T.); #16018=EDGE_CURVE('',#12611,#12609,#10551,.T.); #16019=EDGE_CURVE('',#12611,#12612,#6244,.T.); #16020=EDGE_CURVE('',#12612,#12610,#10552,.T.); #16021=EDGE_CURVE('',#12613,#12611,#10553,.T.); #16022=EDGE_CURVE('',#12613,#12614,#6245,.T.); #16023=EDGE_CURVE('',#12614,#12612,#10554,.T.); #16024=EDGE_CURVE('',#12615,#12613,#6246,.T.); #16025=EDGE_CURVE('',#12615,#12616,#6247,.T.); #16026=EDGE_CURVE('',#12616,#12614,#6248,.T.); #16027=EDGE_CURVE('',#12617,#12615,#6249,.T.); #16028=EDGE_CURVE('',#12617,#12618,#6250,.T.); #16029=EDGE_CURVE('',#12618,#12616,#6251,.T.); #16030=EDGE_CURVE('',#12619,#12617,#6252,.T.); #16031=EDGE_CURVE('',#12619,#12620,#6253,.T.); #16032=EDGE_CURVE('',#12620,#12618,#6254,.T.); #16033=EDGE_CURVE('',#12621,#12619,#6255,.T.); #16034=EDGE_CURVE('',#12621,#12622,#6256,.T.); #16035=EDGE_CURVE('',#12622,#12620,#6257,.T.); #16036=EDGE_CURVE('',#12623,#12621,#6258,.T.); #16037=EDGE_CURVE('',#12623,#12624,#6259,.T.); #16038=EDGE_CURVE('',#12624,#12622,#6260,.T.); #16039=EDGE_CURVE('',#12625,#12623,#6261,.T.); #16040=EDGE_CURVE('',#12625,#12626,#6262,.T.); #16041=EDGE_CURVE('',#12626,#12624,#6263,.T.); #16042=EDGE_CURVE('',#12627,#12625,#6264,.T.); #16043=EDGE_CURVE('',#12627,#12628,#6265,.T.); #16044=EDGE_CURVE('',#12628,#12626,#6266,.T.); #16045=EDGE_CURVE('',#12629,#12627,#6267,.T.); #16046=EDGE_CURVE('',#12629,#12630,#6268,.T.); #16047=EDGE_CURVE('',#12630,#12628,#6269,.T.); #16048=EDGE_CURVE('',#12631,#12629,#10555,.T.); #16049=EDGE_CURVE('',#12631,#12632,#6270,.T.); #16050=EDGE_CURVE('',#12632,#12630,#10556,.T.); #16051=EDGE_CURVE('',#12633,#12631,#10557,.T.); #16052=EDGE_CURVE('',#12633,#12634,#6271,.T.); #16053=EDGE_CURVE('',#12634,#12632,#10558,.T.); #16054=EDGE_CURVE('',#12635,#12633,#10559,.T.); #16055=EDGE_CURVE('',#12635,#12636,#6272,.T.); #16056=EDGE_CURVE('',#12636,#12634,#10560,.T.); #16057=EDGE_CURVE('',#12637,#12635,#10561,.T.); #16058=EDGE_CURVE('',#12637,#12638,#6273,.T.); #16059=EDGE_CURVE('',#12638,#12636,#10562,.T.); #16060=EDGE_CURVE('',#12639,#12637,#10563,.T.); #16061=EDGE_CURVE('',#12639,#12640,#6274,.T.); #16062=EDGE_CURVE('',#12640,#12638,#10564,.T.); #16063=EDGE_CURVE('',#12641,#12639,#6275,.T.); #16064=EDGE_CURVE('',#12641,#12642,#6276,.T.); #16065=EDGE_CURVE('',#12642,#12640,#6277,.T.); #16066=EDGE_CURVE('',#12643,#12641,#10565,.T.); #16067=EDGE_CURVE('',#12643,#12644,#6278,.T.); #16068=EDGE_CURVE('',#12644,#12642,#10566,.T.); #16069=EDGE_CURVE('',#12584,#12643,#10567,.T.); #16070=EDGE_CURVE('',#12586,#12644,#10568,.T.); #16071=EDGE_CURVE('',#12645,#12646,#6279,.T.); #16072=EDGE_CURVE('',#12645,#12647,#6280,.T.); #16073=EDGE_CURVE('',#12647,#12648,#6281,.T.); #16074=EDGE_CURVE('',#12646,#12648,#6282,.T.); #16075=EDGE_CURVE('',#12649,#12645,#6283,.T.); #16076=EDGE_CURVE('',#12649,#12650,#6284,.T.); #16077=EDGE_CURVE('',#12650,#12647,#6285,.T.); #16078=EDGE_CURVE('',#12651,#12649,#6286,.T.); #16079=EDGE_CURVE('',#12651,#12652,#6287,.T.); #16080=EDGE_CURVE('',#12652,#12650,#6288,.T.); #16081=EDGE_CURVE('',#12653,#12651,#6289,.T.); #16082=EDGE_CURVE('',#12653,#12654,#6290,.T.); #16083=EDGE_CURVE('',#12654,#12652,#6291,.T.); #16084=EDGE_CURVE('',#12655,#12653,#6292,.T.); #16085=EDGE_CURVE('',#12655,#12656,#6293,.T.); #16086=EDGE_CURVE('',#12656,#12654,#6294,.T.); #16087=EDGE_CURVE('',#12657,#12655,#6295,.T.); #16088=EDGE_CURVE('',#12657,#12658,#6296,.T.); #16089=EDGE_CURVE('',#12658,#12656,#6297,.T.); #16090=EDGE_CURVE('',#12659,#12657,#6298,.T.); #16091=EDGE_CURVE('',#12659,#12660,#6299,.T.); #16092=EDGE_CURVE('',#12660,#12658,#6300,.T.); #16093=EDGE_CURVE('',#12661,#12659,#6301,.T.); #16094=EDGE_CURVE('',#12661,#12662,#6302,.T.); #16095=EDGE_CURVE('',#12662,#12660,#6303,.T.); #16096=EDGE_CURVE('',#12663,#12661,#6304,.T.); #16097=EDGE_CURVE('',#12663,#12664,#6305,.T.); #16098=EDGE_CURVE('',#12664,#12662,#6306,.T.); #16099=EDGE_CURVE('',#12665,#12663,#6307,.T.); #16100=EDGE_CURVE('',#12665,#12666,#6308,.T.); #16101=EDGE_CURVE('',#12666,#12664,#6309,.T.); #16102=EDGE_CURVE('',#12667,#12665,#10569,.T.); #16103=EDGE_CURVE('',#12667,#12668,#6310,.T.); #16104=EDGE_CURVE('',#12668,#12666,#10570,.T.); #16105=EDGE_CURVE('',#12669,#12667,#6311,.T.); #16106=EDGE_CURVE('',#12669,#12670,#6312,.T.); #16107=EDGE_CURVE('',#12670,#12668,#6313,.T.); #16108=EDGE_CURVE('',#12671,#12669,#6314,.T.); #16109=EDGE_CURVE('',#12671,#12672,#6315,.T.); #16110=EDGE_CURVE('',#12672,#12670,#6316,.T.); #16111=EDGE_CURVE('',#12673,#12671,#6317,.T.); #16112=EDGE_CURVE('',#12673,#12674,#6318,.T.); #16113=EDGE_CURVE('',#12674,#12672,#6319,.T.); #16114=EDGE_CURVE('',#12675,#12673,#10571,.T.); #16115=EDGE_CURVE('',#12675,#12676,#6320,.T.); #16116=EDGE_CURVE('',#12676,#12674,#10572,.T.); #16117=EDGE_CURVE('',#12677,#12675,#6321,.T.); #16118=EDGE_CURVE('',#12677,#12678,#6322,.T.); #16119=EDGE_CURVE('',#12678,#12676,#6323,.T.); #16120=EDGE_CURVE('',#12679,#12677,#6324,.T.); #16121=EDGE_CURVE('',#12679,#12680,#6325,.T.); #16122=EDGE_CURVE('',#12680,#12678,#6326,.T.); #16123=EDGE_CURVE('',#12681,#12679,#6327,.T.); #16124=EDGE_CURVE('',#12681,#12682,#6328,.T.); #16125=EDGE_CURVE('',#12682,#12680,#6329,.T.); #16126=EDGE_CURVE('',#12683,#12681,#6330,.T.); #16127=EDGE_CURVE('',#12683,#12684,#6331,.T.); #16128=EDGE_CURVE('',#12684,#12682,#6332,.T.); #16129=EDGE_CURVE('',#12685,#12683,#6333,.T.); #16130=EDGE_CURVE('',#12685,#12686,#6334,.T.); #16131=EDGE_CURVE('',#12686,#12684,#6335,.T.); #16132=EDGE_CURVE('',#12687,#12685,#6336,.T.); #16133=EDGE_CURVE('',#12687,#12688,#6337,.T.); #16134=EDGE_CURVE('',#12688,#12686,#6338,.T.); #16135=EDGE_CURVE('',#12689,#12687,#6339,.T.); #16136=EDGE_CURVE('',#12689,#12690,#6340,.T.); #16137=EDGE_CURVE('',#12690,#12688,#6341,.T.); #16138=EDGE_CURVE('',#12691,#12689,#6342,.T.); #16139=EDGE_CURVE('',#12691,#12692,#6343,.T.); #16140=EDGE_CURVE('',#12692,#12690,#6344,.T.); #16141=EDGE_CURVE('',#12693,#12691,#6345,.T.); #16142=EDGE_CURVE('',#12693,#12694,#6346,.T.); #16143=EDGE_CURVE('',#12694,#12692,#6347,.T.); #16144=EDGE_CURVE('',#12695,#12693,#6348,.T.); #16145=EDGE_CURVE('',#12695,#12696,#6349,.T.); #16146=EDGE_CURVE('',#12696,#12694,#6350,.T.); #16147=EDGE_CURVE('',#12697,#12695,#6351,.T.); #16148=EDGE_CURVE('',#12697,#12698,#6352,.T.); #16149=EDGE_CURVE('',#12698,#12696,#6353,.T.); #16150=EDGE_CURVE('',#12699,#12697,#10573,.T.); #16151=EDGE_CURVE('',#12699,#12700,#6354,.T.); #16152=EDGE_CURVE('',#12700,#12698,#10574,.T.); #16153=EDGE_CURVE('',#12701,#12699,#6355,.T.); #16154=EDGE_CURVE('',#12701,#12702,#6356,.T.); #16155=EDGE_CURVE('',#12702,#12700,#6357,.T.); #16156=EDGE_CURVE('',#12703,#12701,#6358,.T.); #16157=EDGE_CURVE('',#12703,#12704,#6359,.T.); #16158=EDGE_CURVE('',#12704,#12702,#6360,.T.); #16159=EDGE_CURVE('',#12705,#12703,#6361,.T.); #16160=EDGE_CURVE('',#12705,#12706,#6362,.T.); #16161=EDGE_CURVE('',#12706,#12704,#6363,.T.); #16162=EDGE_CURVE('',#12707,#12705,#6364,.T.); #16163=EDGE_CURVE('',#12707,#12708,#6365,.T.); #16164=EDGE_CURVE('',#12708,#12706,#6366,.T.); #16165=EDGE_CURVE('',#12709,#12707,#10575,.T.); #16166=EDGE_CURVE('',#12709,#12710,#6367,.T.); #16167=EDGE_CURVE('',#12710,#12708,#10576,.T.); #16168=EDGE_CURVE('',#12711,#12709,#6368,.T.); #16169=EDGE_CURVE('',#12711,#12712,#6369,.T.); #16170=EDGE_CURVE('',#12712,#12710,#6370,.T.); #16171=EDGE_CURVE('',#12713,#12711,#6371,.T.); #16172=EDGE_CURVE('',#12713,#12714,#6372,.T.); #16173=EDGE_CURVE('',#12714,#12712,#6373,.T.); #16174=EDGE_CURVE('',#12715,#12713,#6374,.T.); #16175=EDGE_CURVE('',#12715,#12716,#6375,.T.); #16176=EDGE_CURVE('',#12716,#12714,#6376,.T.); #16177=EDGE_CURVE('',#12717,#12715,#6377,.T.); #16178=EDGE_CURVE('',#12717,#12718,#6378,.T.); #16179=EDGE_CURVE('',#12718,#12716,#6379,.T.); #16180=EDGE_CURVE('',#12646,#12717,#6380,.T.); #16181=EDGE_CURVE('',#12648,#12718,#6381,.T.); #16182=EDGE_CURVE('',#12719,#12720,#6382,.T.); #16183=EDGE_CURVE('',#12719,#12721,#6383,.T.); #16184=EDGE_CURVE('',#12721,#12722,#6384,.T.); #16185=EDGE_CURVE('',#12720,#12722,#6385,.T.); #16186=EDGE_CURVE('',#12723,#12719,#6386,.T.); #16187=EDGE_CURVE('',#12723,#12724,#6387,.T.); #16188=EDGE_CURVE('',#12724,#12721,#6388,.T.); #16189=EDGE_CURVE('',#12725,#12723,#6389,.T.); #16190=EDGE_CURVE('',#12725,#12726,#6390,.T.); #16191=EDGE_CURVE('',#12726,#12724,#6391,.T.); #16192=EDGE_CURVE('',#12727,#12725,#6392,.T.); #16193=EDGE_CURVE('',#12727,#12728,#6393,.T.); #16194=EDGE_CURVE('',#12728,#12726,#6394,.T.); #16195=EDGE_CURVE('',#12729,#12727,#10577,.T.); #16196=EDGE_CURVE('',#12729,#12730,#6395,.T.); #16197=EDGE_CURVE('',#12730,#12728,#10578,.T.); #16198=EDGE_CURVE('',#12731,#12729,#6396,.T.); #16199=EDGE_CURVE('',#12731,#12732,#6397,.T.); #16200=EDGE_CURVE('',#12732,#12730,#6398,.T.); #16201=EDGE_CURVE('',#12733,#12731,#6399,.T.); #16202=EDGE_CURVE('',#12733,#12734,#6400,.T.); #16203=EDGE_CURVE('',#12734,#12732,#6401,.T.); #16204=EDGE_CURVE('',#12735,#12733,#6402,.T.); #16205=EDGE_CURVE('',#12735,#12736,#6403,.T.); #16206=EDGE_CURVE('',#12736,#12734,#6404,.T.); #16207=EDGE_CURVE('',#12737,#12735,#10579,.T.); #16208=EDGE_CURVE('',#12737,#12738,#6405,.T.); #16209=EDGE_CURVE('',#12738,#12736,#10580,.T.); #16210=EDGE_CURVE('',#12739,#12737,#6406,.T.); #16211=EDGE_CURVE('',#12739,#12740,#6407,.T.); #16212=EDGE_CURVE('',#12740,#12738,#6408,.T.); #16213=EDGE_CURVE('',#12741,#12739,#6409,.T.); #16214=EDGE_CURVE('',#12741,#12742,#6410,.T.); #16215=EDGE_CURVE('',#12742,#12740,#6411,.T.); #16216=EDGE_CURVE('',#12743,#12741,#6412,.T.); #16217=EDGE_CURVE('',#12743,#12744,#6413,.T.); #16218=EDGE_CURVE('',#12744,#12742,#6414,.T.); #16219=EDGE_CURVE('',#12745,#12743,#6415,.T.); #16220=EDGE_CURVE('',#12745,#12746,#6416,.T.); #16221=EDGE_CURVE('',#12746,#12744,#6417,.T.); #16222=EDGE_CURVE('',#12747,#12745,#6418,.T.); #16223=EDGE_CURVE('',#12747,#12748,#6419,.T.); #16224=EDGE_CURVE('',#12748,#12746,#6420,.T.); #16225=EDGE_CURVE('',#12749,#12747,#6421,.T.); #16226=EDGE_CURVE('',#12749,#12750,#6422,.T.); #16227=EDGE_CURVE('',#12750,#12748,#6423,.T.); #16228=EDGE_CURVE('',#12751,#12749,#6424,.T.); #16229=EDGE_CURVE('',#12751,#12752,#6425,.T.); #16230=EDGE_CURVE('',#12752,#12750,#6426,.T.); #16231=EDGE_CURVE('',#12753,#12751,#10581,.T.); #16232=EDGE_CURVE('',#12753,#12754,#6427,.T.); #16233=EDGE_CURVE('',#12754,#12752,#10582,.T.); #16234=EDGE_CURVE('',#12755,#12753,#6428,.T.); #16235=EDGE_CURVE('',#12755,#12756,#6429,.T.); #16236=EDGE_CURVE('',#12756,#12754,#6430,.T.); #16237=EDGE_CURVE('',#12757,#12755,#6431,.T.); #16238=EDGE_CURVE('',#12757,#12758,#6432,.T.); #16239=EDGE_CURVE('',#12758,#12756,#6433,.T.); #16240=EDGE_CURVE('',#12759,#12757,#6434,.T.); #16241=EDGE_CURVE('',#12759,#12760,#6435,.T.); #16242=EDGE_CURVE('',#12760,#12758,#6436,.T.); #16243=EDGE_CURVE('',#12761,#12759,#6437,.T.); #16244=EDGE_CURVE('',#12761,#12762,#6438,.T.); #16245=EDGE_CURVE('',#12762,#12760,#6439,.T.); #16246=EDGE_CURVE('',#12763,#12761,#6440,.T.); #16247=EDGE_CURVE('',#12763,#12764,#6441,.T.); #16248=EDGE_CURVE('',#12764,#12762,#6442,.T.); #16249=EDGE_CURVE('',#12765,#12763,#10583,.T.); #16250=EDGE_CURVE('',#12765,#12766,#6443,.T.); #16251=EDGE_CURVE('',#12766,#12764,#10584,.T.); #16252=EDGE_CURVE('',#12767,#12765,#6444,.T.); #16253=EDGE_CURVE('',#12767,#12768,#6445,.T.); #16254=EDGE_CURVE('',#12768,#12766,#6446,.T.); #16255=EDGE_CURVE('',#12769,#12767,#6447,.T.); #16256=EDGE_CURVE('',#12769,#12770,#6448,.T.); #16257=EDGE_CURVE('',#12770,#12768,#6449,.T.); #16258=EDGE_CURVE('',#12771,#12769,#6450,.T.); #16259=EDGE_CURVE('',#12771,#12772,#6451,.T.); #16260=EDGE_CURVE('',#12772,#12770,#6452,.T.); #16261=EDGE_CURVE('',#12773,#12771,#6453,.T.); #16262=EDGE_CURVE('',#12773,#12774,#6454,.T.); #16263=EDGE_CURVE('',#12774,#12772,#6455,.T.); #16264=EDGE_CURVE('',#12775,#12773,#10585,.T.); #16265=EDGE_CURVE('',#12775,#12776,#6456,.T.); #16266=EDGE_CURVE('',#12776,#12774,#10586,.T.); #16267=EDGE_CURVE('',#12777,#12775,#6457,.T.); #16268=EDGE_CURVE('',#12777,#12778,#6458,.T.); #16269=EDGE_CURVE('',#12778,#12776,#6459,.T.); #16270=EDGE_CURVE('',#12779,#12777,#6460,.T.); #16271=EDGE_CURVE('',#12779,#12780,#6461,.T.); #16272=EDGE_CURVE('',#12780,#12778,#6462,.T.); #16273=EDGE_CURVE('',#12781,#12779,#6463,.T.); #16274=EDGE_CURVE('',#12781,#12782,#6464,.T.); #16275=EDGE_CURVE('',#12782,#12780,#6465,.T.); #16276=EDGE_CURVE('',#12783,#12781,#6466,.T.); #16277=EDGE_CURVE('',#12783,#12784,#6467,.T.); #16278=EDGE_CURVE('',#12784,#12782,#6468,.T.); #16279=EDGE_CURVE('',#12785,#12783,#6469,.T.); #16280=EDGE_CURVE('',#12785,#12786,#6470,.T.); #16281=EDGE_CURVE('',#12786,#12784,#6471,.T.); #16282=EDGE_CURVE('',#12787,#12785,#6472,.T.); #16283=EDGE_CURVE('',#12787,#12788,#6473,.T.); #16284=EDGE_CURVE('',#12788,#12786,#6474,.T.); #16285=EDGE_CURVE('',#12789,#12787,#6475,.T.); #16286=EDGE_CURVE('',#12789,#12790,#6476,.T.); #16287=EDGE_CURVE('',#12790,#12788,#6477,.T.); #16288=EDGE_CURVE('',#12791,#12789,#6478,.T.); #16289=EDGE_CURVE('',#12791,#12792,#6479,.T.); #16290=EDGE_CURVE('',#12792,#12790,#6480,.T.); #16291=EDGE_CURVE('',#12793,#12791,#6481,.T.); #16292=EDGE_CURVE('',#12793,#12794,#6482,.T.); #16293=EDGE_CURVE('',#12794,#12792,#6483,.T.); #16294=EDGE_CURVE('',#12795,#12793,#6484,.T.); #16295=EDGE_CURVE('',#12795,#12796,#6485,.T.); #16296=EDGE_CURVE('',#12796,#12794,#6486,.T.); #16297=EDGE_CURVE('',#12797,#12795,#10587,.T.); #16298=EDGE_CURVE('',#12797,#12798,#6487,.T.); #16299=EDGE_CURVE('',#12798,#12796,#10588,.T.); #16300=EDGE_CURVE('',#12799,#12797,#6488,.T.); #16301=EDGE_CURVE('',#12799,#12800,#6489,.T.); #16302=EDGE_CURVE('',#12800,#12798,#6490,.T.); #16303=EDGE_CURVE('',#12801,#12799,#6491,.T.); #16304=EDGE_CURVE('',#12801,#12802,#6492,.T.); #16305=EDGE_CURVE('',#12802,#12800,#6493,.T.); #16306=EDGE_CURVE('',#12803,#12801,#6494,.T.); #16307=EDGE_CURVE('',#12803,#12804,#6495,.T.); #16308=EDGE_CURVE('',#12804,#12802,#6496,.T.); #16309=EDGE_CURVE('',#12805,#12803,#6497,.T.); #16310=EDGE_CURVE('',#12805,#12806,#6498,.T.); #16311=EDGE_CURVE('',#12806,#12804,#6499,.T.); #16312=EDGE_CURVE('',#12720,#12805,#6500,.T.); #16313=EDGE_CURVE('',#12722,#12806,#6501,.T.); #16314=EDGE_CURVE('',#12807,#12808,#6502,.T.); #16315=EDGE_CURVE('',#12807,#12809,#6503,.T.); #16316=EDGE_CURVE('',#12809,#12810,#6504,.T.); #16317=EDGE_CURVE('',#12808,#12810,#6505,.T.); #16318=EDGE_CURVE('',#12811,#12807,#10589,.T.); #16319=EDGE_CURVE('',#12811,#12812,#6506,.T.); #16320=EDGE_CURVE('',#12812,#12809,#10590,.T.); #16321=EDGE_CURVE('',#12813,#12811,#6507,.T.); #16322=EDGE_CURVE('',#12813,#12814,#6508,.T.); #16323=EDGE_CURVE('',#12814,#12812,#6509,.T.); #16324=EDGE_CURVE('',#12815,#12813,#6510,.T.); #16325=EDGE_CURVE('',#12815,#12816,#6511,.T.); #16326=EDGE_CURVE('',#12816,#12814,#6512,.T.); #16327=EDGE_CURVE('',#12817,#12815,#6513,.T.); #16328=EDGE_CURVE('',#12817,#12818,#6514,.T.); #16329=EDGE_CURVE('',#12818,#12816,#6515,.T.); #16330=EDGE_CURVE('',#12819,#12817,#6516,.T.); #16331=EDGE_CURVE('',#12819,#12820,#6517,.T.); #16332=EDGE_CURVE('',#12820,#12818,#6518,.T.); #16333=EDGE_CURVE('',#12821,#12819,#6519,.T.); #16334=EDGE_CURVE('',#12821,#12822,#6520,.T.); #16335=EDGE_CURVE('',#12822,#12820,#6521,.T.); #16336=EDGE_CURVE('',#12823,#12821,#6522,.T.); #16337=EDGE_CURVE('',#12823,#12824,#6523,.T.); #16338=EDGE_CURVE('',#12824,#12822,#6524,.T.); #16339=EDGE_CURVE('',#12825,#12823,#10591,.T.); #16340=EDGE_CURVE('',#12825,#12826,#6525,.T.); #16341=EDGE_CURVE('',#12826,#12824,#10592,.T.); #16342=EDGE_CURVE('',#12827,#12825,#10593,.T.); #16343=EDGE_CURVE('',#12827,#12828,#6526,.T.); #16344=EDGE_CURVE('',#12828,#12826,#10594,.T.); #16345=EDGE_CURVE('',#12829,#12827,#10595,.T.); #16346=EDGE_CURVE('',#12829,#12830,#6527,.T.); #16347=EDGE_CURVE('',#12830,#12828,#10596,.T.); #16348=EDGE_CURVE('',#12831,#12829,#10597,.T.); #16349=EDGE_CURVE('',#12831,#12832,#6528,.T.); #16350=EDGE_CURVE('',#12832,#12830,#10598,.T.); #16351=EDGE_CURVE('',#12833,#12831,#10599,.T.); #16352=EDGE_CURVE('',#12833,#12834,#6529,.T.); #16353=EDGE_CURVE('',#12834,#12832,#10600,.T.); #16354=EDGE_CURVE('',#12835,#12833,#10601,.T.); #16355=EDGE_CURVE('',#12835,#12836,#6530,.T.); #16356=EDGE_CURVE('',#12836,#12834,#10602,.T.); #16357=EDGE_CURVE('',#12837,#12835,#6531,.T.); #16358=EDGE_CURVE('',#12837,#12838,#6532,.T.); #16359=EDGE_CURVE('',#12838,#12836,#6533,.T.); #16360=EDGE_CURVE('',#12839,#12837,#6534,.T.); #16361=EDGE_CURVE('',#12839,#12840,#6535,.T.); #16362=EDGE_CURVE('',#12840,#12838,#6536,.T.); #16363=EDGE_CURVE('',#12841,#12839,#6537,.T.); #16364=EDGE_CURVE('',#12841,#12842,#6538,.T.); #16365=EDGE_CURVE('',#12842,#12840,#6539,.T.); #16366=EDGE_CURVE('',#12843,#12841,#6540,.T.); #16367=EDGE_CURVE('',#12843,#12844,#6541,.T.); #16368=EDGE_CURVE('',#12844,#12842,#6542,.T.); #16369=EDGE_CURVE('',#12845,#12843,#6543,.T.); #16370=EDGE_CURVE('',#12845,#12846,#6544,.T.); #16371=EDGE_CURVE('',#12846,#12844,#6545,.T.); #16372=EDGE_CURVE('',#12847,#12845,#10603,.T.); #16373=EDGE_CURVE('',#12847,#12848,#6546,.T.); #16374=EDGE_CURVE('',#12848,#12846,#10604,.T.); #16375=EDGE_CURVE('',#12849,#12847,#6547,.T.); #16376=EDGE_CURVE('',#12849,#12850,#6548,.T.); #16377=EDGE_CURVE('',#12850,#12848,#6549,.T.); #16378=EDGE_CURVE('',#12851,#12849,#6550,.T.); #16379=EDGE_CURVE('',#12851,#12852,#6551,.T.); #16380=EDGE_CURVE('',#12852,#12850,#6552,.T.); #16381=EDGE_CURVE('',#12853,#12851,#6553,.T.); #16382=EDGE_CURVE('',#12853,#12854,#6554,.T.); #16383=EDGE_CURVE('',#12854,#12852,#6555,.T.); #16384=EDGE_CURVE('',#12855,#12853,#6556,.T.); #16385=EDGE_CURVE('',#12855,#12856,#6557,.T.); #16386=EDGE_CURVE('',#12856,#12854,#6558,.T.); #16387=EDGE_CURVE('',#12857,#12855,#6559,.T.); #16388=EDGE_CURVE('',#12857,#12858,#6560,.T.); #16389=EDGE_CURVE('',#12858,#12856,#6561,.T.); #16390=EDGE_CURVE('',#12859,#12857,#6562,.T.); #16391=EDGE_CURVE('',#12859,#12860,#6563,.T.); #16392=EDGE_CURVE('',#12860,#12858,#6564,.T.); #16393=EDGE_CURVE('',#12861,#12859,#6565,.T.); #16394=EDGE_CURVE('',#12861,#12862,#6566,.T.); #16395=EDGE_CURVE('',#12862,#12860,#6567,.T.); #16396=EDGE_CURVE('',#12863,#12861,#6568,.T.); #16397=EDGE_CURVE('',#12863,#12864,#6569,.T.); #16398=EDGE_CURVE('',#12864,#12862,#6570,.T.); #16399=EDGE_CURVE('',#12865,#12863,#6571,.T.); #16400=EDGE_CURVE('',#12865,#12866,#6572,.T.); #16401=EDGE_CURVE('',#12866,#12864,#6573,.T.); #16402=EDGE_CURVE('',#12867,#12865,#6574,.T.); #16403=EDGE_CURVE('',#12867,#12868,#6575,.T.); #16404=EDGE_CURVE('',#12868,#12866,#6576,.T.); #16405=EDGE_CURVE('',#12869,#12867,#6577,.T.); #16406=EDGE_CURVE('',#12869,#12870,#6578,.T.); #16407=EDGE_CURVE('',#12870,#12868,#6579,.T.); #16408=EDGE_CURVE('',#12871,#12869,#6580,.T.); #16409=EDGE_CURVE('',#12871,#12872,#6581,.T.); #16410=EDGE_CURVE('',#12872,#12870,#6582,.T.); #16411=EDGE_CURVE('',#12873,#12871,#6583,.T.); #16412=EDGE_CURVE('',#12873,#12874,#6584,.T.); #16413=EDGE_CURVE('',#12874,#12872,#6585,.T.); #16414=EDGE_CURVE('',#12875,#12873,#6586,.T.); #16415=EDGE_CURVE('',#12875,#12876,#6587,.T.); #16416=EDGE_CURVE('',#12876,#12874,#6588,.T.); #16417=EDGE_CURVE('',#12877,#12875,#10605,.T.); #16418=EDGE_CURVE('',#12877,#12878,#6589,.T.); #16419=EDGE_CURVE('',#12878,#12876,#10606,.T.); #16420=EDGE_CURVE('',#12879,#12877,#6590,.T.); #16421=EDGE_CURVE('',#12879,#12880,#6591,.T.); #16422=EDGE_CURVE('',#12880,#12878,#6592,.T.); #16423=EDGE_CURVE('',#12881,#12879,#6593,.T.); #16424=EDGE_CURVE('',#12881,#12882,#6594,.T.); #16425=EDGE_CURVE('',#12882,#12880,#6595,.T.); #16426=EDGE_CURVE('',#12883,#12881,#6596,.T.); #16427=EDGE_CURVE('',#12883,#12884,#6597,.T.); #16428=EDGE_CURVE('',#12884,#12882,#6598,.T.); #16429=EDGE_CURVE('',#12885,#12883,#6599,.T.); #16430=EDGE_CURVE('',#12885,#12886,#6600,.T.); #16431=EDGE_CURVE('',#12886,#12884,#6601,.T.); #16432=EDGE_CURVE('',#12887,#12885,#6602,.T.); #16433=EDGE_CURVE('',#12887,#12888,#6603,.T.); #16434=EDGE_CURVE('',#12888,#12886,#6604,.T.); #16435=EDGE_CURVE('',#12889,#12887,#6605,.T.); #16436=EDGE_CURVE('',#12889,#12890,#6606,.T.); #16437=EDGE_CURVE('',#12890,#12888,#6607,.T.); #16438=EDGE_CURVE('',#12891,#12889,#6608,.T.); #16439=EDGE_CURVE('',#12891,#12892,#6609,.T.); #16440=EDGE_CURVE('',#12892,#12890,#6610,.T.); #16441=EDGE_CURVE('',#12893,#12891,#6611,.T.); #16442=EDGE_CURVE('',#12893,#12894,#6612,.T.); #16443=EDGE_CURVE('',#12894,#12892,#6613,.T.); #16444=EDGE_CURVE('',#12895,#12893,#6614,.T.); #16445=EDGE_CURVE('',#12895,#12896,#6615,.T.); #16446=EDGE_CURVE('',#12896,#12894,#6616,.T.); #16447=EDGE_CURVE('',#12897,#12895,#6617,.T.); #16448=EDGE_CURVE('',#12897,#12898,#6618,.T.); #16449=EDGE_CURVE('',#12898,#12896,#6619,.T.); #16450=EDGE_CURVE('',#12899,#12897,#6620,.T.); #16451=EDGE_CURVE('',#12899,#12900,#6621,.T.); #16452=EDGE_CURVE('',#12900,#12898,#6622,.T.); #16453=EDGE_CURVE('',#12901,#12899,#10607,.T.); #16454=EDGE_CURVE('',#12901,#12902,#6623,.T.); #16455=EDGE_CURVE('',#12902,#12900,#10608,.T.); #16456=EDGE_CURVE('',#12903,#12901,#10609,.T.); #16457=EDGE_CURVE('',#12903,#12904,#6624,.T.); #16458=EDGE_CURVE('',#12904,#12902,#10610,.T.); #16459=EDGE_CURVE('',#12905,#12903,#10611,.T.); #16460=EDGE_CURVE('',#12905,#12906,#6625,.T.); #16461=EDGE_CURVE('',#12906,#12904,#10612,.T.); #16462=EDGE_CURVE('',#12907,#12905,#10613,.T.); #16463=EDGE_CURVE('',#12907,#12908,#6626,.T.); #16464=EDGE_CURVE('',#12908,#12906,#10614,.T.); #16465=EDGE_CURVE('',#12909,#12907,#10615,.T.); #16466=EDGE_CURVE('',#12909,#12910,#6627,.T.); #16467=EDGE_CURVE('',#12910,#12908,#10616,.T.); #16468=EDGE_CURVE('',#12911,#12909,#10617,.T.); #16469=EDGE_CURVE('',#12911,#12912,#6628,.T.); #16470=EDGE_CURVE('',#12912,#12910,#10618,.T.); #16471=EDGE_CURVE('',#12913,#12911,#6629,.T.); #16472=EDGE_CURVE('',#12913,#12914,#6630,.T.); #16473=EDGE_CURVE('',#12914,#12912,#6631,.T.); #16474=EDGE_CURVE('',#12808,#12913,#6632,.T.); #16475=EDGE_CURVE('',#12810,#12914,#6633,.T.); #16476=EDGE_CURVE('',#12915,#12916,#6634,.T.); #16477=EDGE_CURVE('',#12915,#12917,#6635,.T.); #16478=EDGE_CURVE('',#12917,#12918,#6636,.T.); #16479=EDGE_CURVE('',#12916,#12918,#6637,.T.); #16480=EDGE_CURVE('',#12919,#12915,#6638,.T.); #16481=EDGE_CURVE('',#12919,#12920,#6639,.T.); #16482=EDGE_CURVE('',#12920,#12917,#6640,.T.); #16483=EDGE_CURVE('',#12921,#12919,#6641,.T.); #16484=EDGE_CURVE('',#12921,#12922,#6642,.T.); #16485=EDGE_CURVE('',#12922,#12920,#6643,.T.); #16486=EDGE_CURVE('',#12923,#12921,#10619,.T.); #16487=EDGE_CURVE('',#12923,#12924,#6644,.T.); #16488=EDGE_CURVE('',#12924,#12922,#10620,.T.); #16489=EDGE_CURVE('',#12925,#12923,#6645,.T.); #16490=EDGE_CURVE('',#12925,#12926,#6646,.T.); #16491=EDGE_CURVE('',#12926,#12924,#6647,.T.); #16492=EDGE_CURVE('',#12927,#12925,#10621,.T.); #16493=EDGE_CURVE('',#12927,#12928,#6648,.T.); #16494=EDGE_CURVE('',#12928,#12926,#10622,.T.); #16495=EDGE_CURVE('',#12929,#12927,#6649,.T.); #16496=EDGE_CURVE('',#12929,#12930,#6650,.T.); #16497=EDGE_CURVE('',#12930,#12928,#6651,.T.); #16498=EDGE_CURVE('',#12931,#12929,#6652,.T.); #16499=EDGE_CURVE('',#12931,#12932,#6653,.T.); #16500=EDGE_CURVE('',#12932,#12930,#6654,.T.); #16501=EDGE_CURVE('',#12933,#12931,#6655,.T.); #16502=EDGE_CURVE('',#12933,#12934,#6656,.T.); #16503=EDGE_CURVE('',#12934,#12932,#6657,.T.); #16504=EDGE_CURVE('',#12935,#12933,#6658,.T.); #16505=EDGE_CURVE('',#12935,#12936,#6659,.T.); #16506=EDGE_CURVE('',#12936,#12934,#6660,.T.); #16507=EDGE_CURVE('',#12916,#12935,#6661,.T.); #16508=EDGE_CURVE('',#12918,#12936,#6662,.T.); #16509=EDGE_CURVE('',#12937,#12938,#10623,.T.); #16510=EDGE_CURVE('',#12937,#12939,#6663,.T.); #16511=EDGE_CURVE('',#12939,#12940,#10624,.T.); #16512=EDGE_CURVE('',#12938,#12940,#6664,.T.); #16513=EDGE_CURVE('',#12941,#12937,#10625,.T.); #16514=EDGE_CURVE('',#12941,#12942,#6665,.T.); #16515=EDGE_CURVE('',#12942,#12939,#10626,.T.); #16516=EDGE_CURVE('',#12943,#12941,#10627,.T.); #16517=EDGE_CURVE('',#12943,#12944,#6666,.T.); #16518=EDGE_CURVE('',#12944,#12942,#10628,.T.); #16519=EDGE_CURVE('',#12945,#12943,#10629,.T.); #16520=EDGE_CURVE('',#12945,#12946,#6667,.T.); #16521=EDGE_CURVE('',#12946,#12944,#10630,.T.); #16522=EDGE_CURVE('',#12947,#12945,#10631,.T.); #16523=EDGE_CURVE('',#12947,#12948,#6668,.T.); #16524=EDGE_CURVE('',#12948,#12946,#10632,.T.); #16525=EDGE_CURVE('',#12949,#12947,#10633,.T.); #16526=EDGE_CURVE('',#12949,#12950,#6669,.T.); #16527=EDGE_CURVE('',#12950,#12948,#10634,.T.); #16528=EDGE_CURVE('',#12951,#12949,#6670,.T.); #16529=EDGE_CURVE('',#12951,#12952,#6671,.T.); #16530=EDGE_CURVE('',#12952,#12950,#6672,.T.); #16531=EDGE_CURVE('',#12953,#12951,#10635,.T.); #16532=EDGE_CURVE('',#12953,#12954,#6673,.T.); #16533=EDGE_CURVE('',#12954,#12952,#10636,.T.); #16534=EDGE_CURVE('',#12955,#12953,#10637,.T.); #16535=EDGE_CURVE('',#12955,#12956,#6674,.T.); #16536=EDGE_CURVE('',#12956,#12954,#10638,.T.); #16537=EDGE_CURVE('',#12938,#12955,#10639,.T.); #16538=EDGE_CURVE('',#12940,#12956,#10640,.T.); #16539=EDGE_CURVE('',#12957,#12958,#6675,.T.); #16540=EDGE_CURVE('',#12957,#12959,#6676,.T.); #16541=EDGE_CURVE('',#12959,#12960,#6677,.T.); #16542=EDGE_CURVE('',#12958,#12960,#6678,.T.); #16543=EDGE_CURVE('',#12961,#12957,#6679,.T.); #16544=EDGE_CURVE('',#12961,#12962,#6680,.T.); #16545=EDGE_CURVE('',#12962,#12959,#6681,.T.); #16546=EDGE_CURVE('',#12963,#12961,#6682,.T.); #16547=EDGE_CURVE('',#12963,#12964,#6683,.T.); #16548=EDGE_CURVE('',#12964,#12962,#6684,.T.); #16549=EDGE_CURVE('',#12965,#12963,#6685,.T.); #16550=EDGE_CURVE('',#12965,#12966,#6686,.T.); #16551=EDGE_CURVE('',#12966,#12964,#6687,.T.); #16552=EDGE_CURVE('',#12967,#12965,#10641,.T.); #16553=EDGE_CURVE('',#12967,#12968,#6688,.T.); #16554=EDGE_CURVE('',#12968,#12966,#10642,.T.); #16555=EDGE_CURVE('',#12969,#12967,#6689,.T.); #16556=EDGE_CURVE('',#12969,#12970,#6690,.T.); #16557=EDGE_CURVE('',#12970,#12968,#6691,.T.); #16558=EDGE_CURVE('',#12971,#12969,#6692,.T.); #16559=EDGE_CURVE('',#12971,#12972,#6693,.T.); #16560=EDGE_CURVE('',#12972,#12970,#6694,.T.); #16561=EDGE_CURVE('',#12973,#12971,#6695,.T.); #16562=EDGE_CURVE('',#12973,#12974,#6696,.T.); #16563=EDGE_CURVE('',#12974,#12972,#6697,.T.); #16564=EDGE_CURVE('',#12975,#12973,#6698,.T.); #16565=EDGE_CURVE('',#12975,#12976,#6699,.T.); #16566=EDGE_CURVE('',#12976,#12974,#6700,.T.); #16567=EDGE_CURVE('',#12977,#12975,#6701,.T.); #16568=EDGE_CURVE('',#12977,#12978,#6702,.T.); #16569=EDGE_CURVE('',#12978,#12976,#6703,.T.); #16570=EDGE_CURVE('',#12979,#12977,#6704,.T.); #16571=EDGE_CURVE('',#12979,#12980,#6705,.T.); #16572=EDGE_CURVE('',#12980,#12978,#6706,.T.); #16573=EDGE_CURVE('',#12981,#12979,#6707,.T.); #16574=EDGE_CURVE('',#12981,#12982,#6708,.T.); #16575=EDGE_CURVE('',#12982,#12980,#6709,.T.); #16576=EDGE_CURVE('',#12983,#12981,#6710,.T.); #16577=EDGE_CURVE('',#12983,#12984,#6711,.T.); #16578=EDGE_CURVE('',#12984,#12982,#6712,.T.); #16579=EDGE_CURVE('',#12985,#12983,#6713,.T.); #16580=EDGE_CURVE('',#12985,#12986,#6714,.T.); #16581=EDGE_CURVE('',#12986,#12984,#6715,.T.); #16582=EDGE_CURVE('',#12987,#12985,#6716,.T.); #16583=EDGE_CURVE('',#12987,#12988,#6717,.T.); #16584=EDGE_CURVE('',#12988,#12986,#6718,.T.); #16585=EDGE_CURVE('',#12989,#12987,#6719,.T.); #16586=EDGE_CURVE('',#12989,#12990,#6720,.T.); #16587=EDGE_CURVE('',#12990,#12988,#6721,.T.); #16588=EDGE_CURVE('',#12991,#12989,#6722,.T.); #16589=EDGE_CURVE('',#12991,#12992,#6723,.T.); #16590=EDGE_CURVE('',#12992,#12990,#6724,.T.); #16591=EDGE_CURVE('',#12993,#12991,#6725,.T.); #16592=EDGE_CURVE('',#12993,#12994,#6726,.T.); #16593=EDGE_CURVE('',#12994,#12992,#6727,.T.); #16594=EDGE_CURVE('',#12995,#12993,#6728,.T.); #16595=EDGE_CURVE('',#12995,#12996,#6729,.T.); #16596=EDGE_CURVE('',#12996,#12994,#6730,.T.); #16597=EDGE_CURVE('',#12997,#12995,#6731,.T.); #16598=EDGE_CURVE('',#12997,#12998,#6732,.T.); #16599=EDGE_CURVE('',#12998,#12996,#6733,.T.); #16600=EDGE_CURVE('',#12999,#12997,#6734,.T.); #16601=EDGE_CURVE('',#12999,#13000,#6735,.T.); #16602=EDGE_CURVE('',#13000,#12998,#6736,.T.); #16603=EDGE_CURVE('',#13001,#12999,#10643,.T.); #16604=EDGE_CURVE('',#13001,#13002,#6737,.T.); #16605=EDGE_CURVE('',#13002,#13000,#10644,.T.); #16606=EDGE_CURVE('',#13003,#13001,#6738,.T.); #16607=EDGE_CURVE('',#13003,#13004,#6739,.T.); #16608=EDGE_CURVE('',#13004,#13002,#6740,.T.); #16609=EDGE_CURVE('',#13005,#13003,#10645,.T.); #16610=EDGE_CURVE('',#13005,#13006,#6741,.T.); #16611=EDGE_CURVE('',#13006,#13004,#10646,.T.); #16612=EDGE_CURVE('',#13007,#13005,#10647,.T.); #16613=EDGE_CURVE('',#13007,#13008,#6742,.T.); #16614=EDGE_CURVE('',#13008,#13006,#10648,.T.); #16615=EDGE_CURVE('',#13009,#13007,#6743,.T.); #16616=EDGE_CURVE('',#13009,#13010,#6744,.T.); #16617=EDGE_CURVE('',#13010,#13008,#6745,.T.); #16618=EDGE_CURVE('',#13011,#13009,#10649,.T.); #16619=EDGE_CURVE('',#13011,#13012,#6746,.T.); #16620=EDGE_CURVE('',#13012,#13010,#10650,.T.); #16621=EDGE_CURVE('',#13013,#13011,#10651,.T.); #16622=EDGE_CURVE('',#13013,#13014,#6747,.T.); #16623=EDGE_CURVE('',#13014,#13012,#10652,.T.); #16624=EDGE_CURVE('',#13015,#13013,#10653,.T.); #16625=EDGE_CURVE('',#13015,#13016,#6748,.T.); #16626=EDGE_CURVE('',#13016,#13014,#10654,.T.); #16627=EDGE_CURVE('',#13017,#13015,#10655,.T.); #16628=EDGE_CURVE('',#13017,#13018,#6749,.T.); #16629=EDGE_CURVE('',#13018,#13016,#10656,.T.); #16630=EDGE_CURVE('',#13019,#13017,#10657,.T.); #16631=EDGE_CURVE('',#13019,#13020,#6750,.T.); #16632=EDGE_CURVE('',#13020,#13018,#10658,.T.); #16633=EDGE_CURVE('',#13021,#13019,#10659,.T.); #16634=EDGE_CURVE('',#13021,#13022,#6751,.T.); #16635=EDGE_CURVE('',#13022,#13020,#10660,.T.); #16636=EDGE_CURVE('',#13023,#13021,#10661,.T.); #16637=EDGE_CURVE('',#13023,#13024,#6752,.T.); #16638=EDGE_CURVE('',#13024,#13022,#10662,.T.); #16639=EDGE_CURVE('',#13025,#13023,#10663,.T.); #16640=EDGE_CURVE('',#13025,#13026,#6753,.T.); #16641=EDGE_CURVE('',#13026,#13024,#10664,.T.); #16642=EDGE_CURVE('',#13027,#13025,#10665,.T.); #16643=EDGE_CURVE('',#13027,#13028,#6754,.T.); #16644=EDGE_CURVE('',#13028,#13026,#10666,.T.); #16645=EDGE_CURVE('',#13029,#13027,#6755,.T.); #16646=EDGE_CURVE('',#13029,#13030,#6756,.T.); #16647=EDGE_CURVE('',#13030,#13028,#6757,.T.); #16648=EDGE_CURVE('',#12958,#13029,#10667,.T.); #16649=EDGE_CURVE('',#12960,#13030,#10668,.T.); #16650=EDGE_CURVE('',#13031,#13032,#6758,.T.); #16651=EDGE_CURVE('',#13031,#13033,#6759,.T.); #16652=EDGE_CURVE('',#13033,#13034,#6760,.T.); #16653=EDGE_CURVE('',#13032,#13034,#6761,.T.); #16654=EDGE_CURVE('',#13035,#13031,#10669,.T.); #16655=EDGE_CURVE('',#13035,#13036,#6762,.T.); #16656=EDGE_CURVE('',#13036,#13033,#10670,.T.); #16657=EDGE_CURVE('',#13037,#13035,#6763,.T.); #16658=EDGE_CURVE('',#13037,#13038,#6764,.T.); #16659=EDGE_CURVE('',#13038,#13036,#6765,.T.); #16660=EDGE_CURVE('',#13039,#13037,#6766,.T.); #16661=EDGE_CURVE('',#13039,#13040,#6767,.T.); #16662=EDGE_CURVE('',#13040,#13038,#6768,.T.); #16663=EDGE_CURVE('',#13041,#13039,#10671,.T.); #16664=EDGE_CURVE('',#13041,#13042,#6769,.T.); #16665=EDGE_CURVE('',#13042,#13040,#10672,.T.); #16666=EDGE_CURVE('',#13043,#13041,#6770,.T.); #16667=EDGE_CURVE('',#13043,#13044,#6771,.T.); #16668=EDGE_CURVE('',#13044,#13042,#6772,.T.); #16669=EDGE_CURVE('',#13045,#13043,#6773,.T.); #16670=EDGE_CURVE('',#13045,#13046,#6774,.T.); #16671=EDGE_CURVE('',#13046,#13044,#6775,.T.); #16672=EDGE_CURVE('',#13047,#13045,#10673,.T.); #16673=EDGE_CURVE('',#13047,#13048,#6776,.T.); #16674=EDGE_CURVE('',#13048,#13046,#10674,.T.); #16675=EDGE_CURVE('',#13049,#13047,#10675,.T.); #16676=EDGE_CURVE('',#13049,#13050,#6777,.T.); #16677=EDGE_CURVE('',#13050,#13048,#10676,.T.); #16678=EDGE_CURVE('',#13051,#13049,#10677,.T.); #16679=EDGE_CURVE('',#13051,#13052,#6778,.T.); #16680=EDGE_CURVE('',#13052,#13050,#10678,.T.); #16681=EDGE_CURVE('',#13053,#13051,#10679,.T.); #16682=EDGE_CURVE('',#13053,#13054,#6779,.T.); #16683=EDGE_CURVE('',#13054,#13052,#10680,.T.); #16684=EDGE_CURVE('',#13055,#13053,#10681,.T.); #16685=EDGE_CURVE('',#13055,#13056,#6780,.T.); #16686=EDGE_CURVE('',#13056,#13054,#10682,.T.); #16687=EDGE_CURVE('',#13057,#13055,#10683,.T.); #16688=EDGE_CURVE('',#13057,#13058,#6781,.T.); #16689=EDGE_CURVE('',#13058,#13056,#10684,.T.); #16690=EDGE_CURVE('',#13059,#13057,#6782,.T.); #16691=EDGE_CURVE('',#13059,#13060,#6783,.T.); #16692=EDGE_CURVE('',#13060,#13058,#6784,.T.); #16693=EDGE_CURVE('',#13061,#13059,#10685,.T.); #16694=EDGE_CURVE('',#13061,#13062,#6785,.T.); #16695=EDGE_CURVE('',#13062,#13060,#10686,.T.); #16696=EDGE_CURVE('',#13063,#13061,#10687,.T.); #16697=EDGE_CURVE('',#13063,#13064,#6786,.T.); #16698=EDGE_CURVE('',#13064,#13062,#10688,.T.); #16699=EDGE_CURVE('',#13065,#13063,#10689,.T.); #16700=EDGE_CURVE('',#13065,#13066,#6787,.T.); #16701=EDGE_CURVE('',#13066,#13064,#10690,.T.); #16702=EDGE_CURVE('',#13067,#13065,#10691,.T.); #16703=EDGE_CURVE('',#13067,#13068,#6788,.T.); #16704=EDGE_CURVE('',#13068,#13066,#10692,.T.); #16705=EDGE_CURVE('',#13069,#13067,#10693,.T.); #16706=EDGE_CURVE('',#13069,#13070,#6789,.T.); #16707=EDGE_CURVE('',#13070,#13068,#10694,.T.); #16708=EDGE_CURVE('',#13071,#13069,#6790,.T.); #16709=EDGE_CURVE('',#13071,#13072,#6791,.T.); #16710=EDGE_CURVE('',#13072,#13070,#6792,.T.); #16711=EDGE_CURVE('',#13073,#13071,#6793,.T.); #16712=EDGE_CURVE('',#13073,#13074,#6794,.T.); #16713=EDGE_CURVE('',#13074,#13072,#6795,.T.); #16714=EDGE_CURVE('',#13075,#13073,#6796,.T.); #16715=EDGE_CURVE('',#13075,#13076,#6797,.T.); #16716=EDGE_CURVE('',#13076,#13074,#6798,.T.); #16717=EDGE_CURVE('',#13077,#13075,#6799,.T.); #16718=EDGE_CURVE('',#13077,#13078,#6800,.T.); #16719=EDGE_CURVE('',#13078,#13076,#6801,.T.); #16720=EDGE_CURVE('',#13079,#13077,#6802,.T.); #16721=EDGE_CURVE('',#13079,#13080,#6803,.T.); #16722=EDGE_CURVE('',#13080,#13078,#6804,.T.); #16723=EDGE_CURVE('',#13081,#13079,#6805,.T.); #16724=EDGE_CURVE('',#13081,#13082,#6806,.T.); #16725=EDGE_CURVE('',#13082,#13080,#6807,.T.); #16726=EDGE_CURVE('',#13083,#13081,#6808,.T.); #16727=EDGE_CURVE('',#13083,#13084,#6809,.T.); #16728=EDGE_CURVE('',#13084,#13082,#6810,.T.); #16729=EDGE_CURVE('',#13085,#13083,#10695,.T.); #16730=EDGE_CURVE('',#13085,#13086,#6811,.T.); #16731=EDGE_CURVE('',#13086,#13084,#10696,.T.); #16732=EDGE_CURVE('',#13087,#13085,#6812,.T.); #16733=EDGE_CURVE('',#13087,#13088,#6813,.T.); #16734=EDGE_CURVE('',#13088,#13086,#6814,.T.); #16735=EDGE_CURVE('',#13089,#13087,#10697,.T.); #16736=EDGE_CURVE('',#13089,#13090,#6815,.T.); #16737=EDGE_CURVE('',#13090,#13088,#10698,.T.); #16738=EDGE_CURVE('',#13091,#13089,#6816,.T.); #16739=EDGE_CURVE('',#13091,#13092,#6817,.T.); #16740=EDGE_CURVE('',#13092,#13090,#6818,.T.); #16741=EDGE_CURVE('',#13093,#13091,#6819,.T.); #16742=EDGE_CURVE('',#13093,#13094,#6820,.T.); #16743=EDGE_CURVE('',#13094,#13092,#6821,.T.); #16744=EDGE_CURVE('',#13095,#13093,#10699,.T.); #16745=EDGE_CURVE('',#13095,#13096,#6822,.T.); #16746=EDGE_CURVE('',#13096,#13094,#10700,.T.); #16747=EDGE_CURVE('',#13097,#13095,#10701,.T.); #16748=EDGE_CURVE('',#13097,#13098,#6823,.T.); #16749=EDGE_CURVE('',#13098,#13096,#10702,.T.); #16750=EDGE_CURVE('',#13099,#13097,#10703,.T.); #16751=EDGE_CURVE('',#13099,#13100,#6824,.T.); #16752=EDGE_CURVE('',#13100,#13098,#10704,.T.); #16753=EDGE_CURVE('',#13101,#13099,#10705,.T.); #16754=EDGE_CURVE('',#13101,#13102,#6825,.T.); #16755=EDGE_CURVE('',#13102,#13100,#10706,.T.); #16756=EDGE_CURVE('',#13103,#13101,#10707,.T.); #16757=EDGE_CURVE('',#13103,#13104,#6826,.T.); #16758=EDGE_CURVE('',#13104,#13102,#10708,.T.); #16759=EDGE_CURVE('',#13105,#13103,#10709,.T.); #16760=EDGE_CURVE('',#13105,#13106,#6827,.T.); #16761=EDGE_CURVE('',#13106,#13104,#10710,.T.); #16762=EDGE_CURVE('',#13107,#13105,#6828,.T.); #16763=EDGE_CURVE('',#13107,#13108,#6829,.T.); #16764=EDGE_CURVE('',#13108,#13106,#6830,.T.); #16765=EDGE_CURVE('',#13109,#13107,#10711,.T.); #16766=EDGE_CURVE('',#13109,#13110,#6831,.T.); #16767=EDGE_CURVE('',#13110,#13108,#10712,.T.); #16768=EDGE_CURVE('',#13111,#13109,#10713,.T.); #16769=EDGE_CURVE('',#13111,#13112,#6832,.T.); #16770=EDGE_CURVE('',#13112,#13110,#10714,.T.); #16771=EDGE_CURVE('',#13113,#13111,#10715,.T.); #16772=EDGE_CURVE('',#13113,#13114,#6833,.T.); #16773=EDGE_CURVE('',#13114,#13112,#10716,.T.); #16774=EDGE_CURVE('',#13115,#13113,#10717,.T.); #16775=EDGE_CURVE('',#13115,#13116,#6834,.T.); #16776=EDGE_CURVE('',#13116,#13114,#10718,.T.); #16777=EDGE_CURVE('',#13032,#13115,#10719,.T.); #16778=EDGE_CURVE('',#13034,#13116,#10720,.T.); #16779=EDGE_CURVE('',#13117,#13118,#6835,.T.); #16780=EDGE_CURVE('',#13118,#13119,#6836,.T.); #16781=EDGE_CURVE('',#13119,#13120,#6837,.T.); #16782=EDGE_CURVE('',#13120,#13121,#6838,.T.); #16783=EDGE_CURVE('',#13121,#13122,#6839,.T.); #16784=EDGE_CURVE('',#13122,#13123,#6840,.T.); #16785=EDGE_CURVE('',#13123,#13124,#6841,.T.); #16786=EDGE_CURVE('',#13124,#13125,#6842,.T.); #16787=EDGE_CURVE('',#13125,#13126,#6843,.T.); #16788=EDGE_CURVE('',#13126,#13127,#6844,.T.); #16789=EDGE_CURVE('',#13127,#13128,#6845,.T.); #16790=EDGE_CURVE('',#13128,#13117,#6846,.T.); #16791=EDGE_CURVE('',#13129,#13129,#10721,.T.); #16792=EDGE_CURVE('',#13130,#13130,#10722,.T.); #16793=EDGE_CURVE('',#13131,#13131,#10723,.T.); #16794=EDGE_CURVE('',#13132,#13132,#10724,.T.); #16795=EDGE_CURVE('',#13133,#13133,#10725,.T.); #16796=EDGE_CURVE('',#13134,#13134,#10726,.T.); #16797=EDGE_CURVE('',#13135,#13135,#10727,.T.); #16798=EDGE_CURVE('',#13136,#13136,#10728,.T.); #16799=EDGE_CURVE('',#13137,#13137,#10729,.T.); #16800=EDGE_CURVE('',#13138,#13138,#10730,.T.); #16801=EDGE_CURVE('',#13139,#13139,#10731,.T.); #16802=EDGE_CURVE('',#13140,#13140,#10732,.T.); #16803=EDGE_CURVE('',#13141,#13141,#10733,.T.); #16804=EDGE_CURVE('',#13142,#13142,#10734,.T.); #16805=EDGE_CURVE('',#13143,#13143,#10735,.T.); #16806=EDGE_CURVE('',#13143,#13129,#6847,.T.); #16807=EDGE_CURVE('',#13144,#13144,#10736,.T.); #16808=EDGE_CURVE('',#13144,#13130,#6848,.T.); #16809=EDGE_CURVE('',#13145,#13145,#10737,.T.); #16810=EDGE_CURVE('',#13145,#13131,#6849,.T.); #16811=EDGE_CURVE('',#13146,#13146,#10738,.T.); #16812=EDGE_CURVE('',#13146,#13132,#6850,.T.); #16813=EDGE_CURVE('',#13147,#13147,#10739,.T.); #16814=EDGE_CURVE('',#13147,#13133,#6851,.T.); #16815=EDGE_CURVE('',#13148,#13148,#10740,.T.); #16816=EDGE_CURVE('',#13148,#13134,#6852,.T.); #16817=EDGE_CURVE('',#13149,#13149,#10741,.T.); #16818=EDGE_CURVE('',#13149,#13135,#6853,.T.); #16819=EDGE_CURVE('',#13150,#13150,#10742,.T.); #16820=EDGE_CURVE('',#13150,#13136,#6854,.T.); #16821=EDGE_CURVE('',#13151,#13151,#10743,.T.); #16822=EDGE_CURVE('',#13151,#13137,#6855,.T.); #16823=EDGE_CURVE('',#13152,#13152,#10744,.T.); #16824=EDGE_CURVE('',#13152,#13138,#6856,.T.); #16825=EDGE_CURVE('',#13153,#13153,#10745,.T.); #16826=EDGE_CURVE('',#13153,#13139,#6857,.T.); #16827=EDGE_CURVE('',#13154,#13154,#10746,.T.); #16828=EDGE_CURVE('',#13154,#13140,#6858,.T.); #16829=EDGE_CURVE('',#13155,#13155,#10747,.T.); #16830=EDGE_CURVE('',#13155,#13141,#6859,.T.); #16831=EDGE_CURVE('',#13156,#13156,#10748,.T.); #16832=EDGE_CURVE('',#13156,#13142,#6860,.T.); #16833=EDGE_CURVE('',#13157,#13158,#6861,.T.); #16834=EDGE_CURVE('',#13159,#13158,#6862,.T.); #16835=EDGE_CURVE('',#13160,#13159,#6863,.T.); #16836=EDGE_CURVE('',#13160,#13157,#6864,.T.); #16837=EDGE_CURVE('',#13161,#13162,#6865,.T.); #16838=EDGE_CURVE('',#13161,#13163,#6866,.T.); #16839=EDGE_CURVE('',#13163,#13164,#6867,.T.); #16840=EDGE_CURVE('',#13162,#13164,#6868,.T.); #16841=EDGE_CURVE('',#13165,#13166,#6869,.T.); #16842=EDGE_CURVE('',#13165,#13167,#6870,.T.); #16843=EDGE_CURVE('',#13167,#13168,#6871,.T.); #16844=EDGE_CURVE('',#13166,#13168,#6872,.T.); #16845=EDGE_CURVE('',#13169,#13170,#6873,.T.); #16846=EDGE_CURVE('',#13171,#13170,#6874,.T.); #16847=EDGE_CURVE('',#13172,#13171,#6875,.T.); #16848=EDGE_CURVE('',#13169,#13172,#6876,.T.); #16849=EDGE_CURVE('',#13171,#13173,#6877,.T.); #16850=EDGE_CURVE('',#13174,#13173,#6878,.T.); #16851=EDGE_CURVE('',#13172,#13174,#6879,.T.); #16852=EDGE_CURVE('',#13173,#13175,#6880,.T.); #16853=EDGE_CURVE('',#13176,#13175,#6881,.T.); #16854=EDGE_CURVE('',#13174,#13176,#6882,.T.); #16855=EDGE_CURVE('',#13177,#13175,#6883,.T.); #16856=EDGE_CURVE('',#13178,#13177,#6884,.T.); #16857=EDGE_CURVE('',#13178,#13176,#6885,.T.); #16858=EDGE_CURVE('',#13170,#13179,#6886,.T.); #16859=EDGE_CURVE('',#13169,#13180,#6887,.T.); #16860=EDGE_CURVE('',#13180,#13179,#6888,.T.); #16861=EDGE_CURVE('',#13181,#13182,#6889,.T.); #16862=EDGE_CURVE('',#13182,#13179,#6890,.T.); #16863=EDGE_CURVE('',#13181,#13180,#6891,.T.); #16864=EDGE_CURVE('',#13182,#13183,#6892,.T.); #16865=EDGE_CURVE('',#13177,#13183,#6893,.T.); #16866=EDGE_CURVE('',#13184,#13183,#6894,.T.); #16867=EDGE_CURVE('',#13178,#13184,#6895,.T.); #16868=EDGE_CURVE('',#13181,#13184,#6896,.T.); #16869=EDGE_CURVE('',#13185,#13186,#6897,.T.); #16870=EDGE_CURVE('',#13187,#13186,#6898,.T.); #16871=EDGE_CURVE('',#13188,#13187,#6899,.T.); #16872=EDGE_CURVE('',#13185,#13188,#6900,.T.); #16873=EDGE_CURVE('',#13187,#13189,#6901,.T.); #16874=EDGE_CURVE('',#13190,#13189,#6902,.T.); #16875=EDGE_CURVE('',#13188,#13190,#6903,.T.); #16876=EDGE_CURVE('',#13189,#13191,#6904,.T.); #16877=EDGE_CURVE('',#13192,#13191,#6905,.T.); #16878=EDGE_CURVE('',#13190,#13192,#6906,.T.); #16879=EDGE_CURVE('',#13193,#13191,#6907,.T.); #16880=EDGE_CURVE('',#13194,#13193,#6908,.T.); #16881=EDGE_CURVE('',#13194,#13192,#6909,.T.); #16882=EDGE_CURVE('',#13186,#13195,#6910,.T.); #16883=EDGE_CURVE('',#13185,#13196,#6911,.T.); #16884=EDGE_CURVE('',#13196,#13195,#6912,.T.); #16885=EDGE_CURVE('',#13197,#13198,#6913,.T.); #16886=EDGE_CURVE('',#13198,#13195,#6914,.T.); #16887=EDGE_CURVE('',#13197,#13196,#6915,.T.); #16888=EDGE_CURVE('',#13198,#13199,#6916,.T.); #16889=EDGE_CURVE('',#13193,#13199,#6917,.T.); #16890=EDGE_CURVE('',#13200,#13199,#6918,.T.); #16891=EDGE_CURVE('',#13194,#13200,#6919,.T.); #16892=EDGE_CURVE('',#13197,#13200,#6920,.T.); #16893=EDGE_CURVE('',#13201,#13202,#6921,.T.); #16894=EDGE_CURVE('',#13157,#13202,#6922,.T.); #16895=EDGE_CURVE('',#13201,#13160,#6923,.T.); #16896=EDGE_CURVE('',#13203,#13204,#6924,.T.); #16897=EDGE_CURVE('',#13203,#13205,#6925,.T.); #16898=EDGE_CURVE('',#13205,#13206,#6926,.T.); #16899=EDGE_CURVE('',#13204,#13206,#6927,.T.); #16900=EDGE_CURVE('',#13206,#13207,#6928,.T.); #16901=EDGE_CURVE('',#13208,#13207,#6929,.T.); #16902=EDGE_CURVE('',#13204,#13208,#6930,.T.); #16903=EDGE_CURVE('',#13209,#13207,#6931,.T.); #16904=EDGE_CURVE('',#13210,#13209,#6932,.T.); #16905=EDGE_CURVE('',#13210,#13208,#6933,.T.); #16906=EDGE_CURVE('',#13202,#13211,#6934,.T.); #16907=EDGE_CURVE('',#13201,#13212,#6935,.T.); #16908=EDGE_CURVE('',#13212,#13211,#6936,.T.); #16909=EDGE_CURVE('',#13213,#13214,#6937,.T.); #16910=EDGE_CURVE('',#13214,#13211,#6938,.T.); #16911=EDGE_CURVE('',#13213,#13212,#6939,.T.); #16912=EDGE_CURVE('',#13215,#13216,#10749,.T.); #16913=EDGE_CURVE('',#13158,#13215,#6940,.T.); #16914=EDGE_CURVE('',#13214,#13217,#6941,.T.); #16915=EDGE_CURVE('',#13209,#13217,#6942,.T.); #16916=EDGE_CURVE('',#13218,#13205,#6943,.T.); #16917=EDGE_CURVE('',#13219,#13218,#10750,.T.); #16918=EDGE_CURVE('',#13220,#13219,#6944,.T.); #16919=EDGE_CURVE('',#13221,#13220,#10751,.T.); #16920=EDGE_CURVE('',#13168,#13221,#6945,.T.); #16921=EDGE_CURVE('',#13222,#13167,#6946,.T.); #16922=EDGE_CURVE('',#13223,#13222,#10752,.T.); #16923=EDGE_CURVE('',#13224,#13223,#6947,.T.); #16924=EDGE_CURVE('',#13225,#13224,#10753,.T.); #16925=EDGE_CURVE('',#13164,#13225,#6948,.T.); #16926=EDGE_CURVE('',#13226,#13163,#6949,.T.); #16927=EDGE_CURVE('',#13227,#13226,#10754,.T.); #16928=EDGE_CURVE('',#13216,#13227,#6950,.T.); #16929=EDGE_CURVE('',#13228,#13217,#6951,.T.); #16930=EDGE_CURVE('',#13210,#13228,#6952,.T.); #16931=EDGE_CURVE('',#13159,#13229,#6953,.T.); #16932=EDGE_CURVE('',#13229,#13230,#10755,.T.); #16933=EDGE_CURVE('',#13230,#13231,#6954,.T.); #16934=EDGE_CURVE('',#13231,#13232,#10756,.T.); #16935=EDGE_CURVE('',#13232,#13161,#6955,.T.); #16936=EDGE_CURVE('',#13162,#13233,#6956,.T.); #16937=EDGE_CURVE('',#13233,#13234,#10757,.T.); #16938=EDGE_CURVE('',#13234,#13235,#6957,.T.); #16939=EDGE_CURVE('',#13235,#13236,#10758,.T.); #16940=EDGE_CURVE('',#13236,#13165,#6958,.T.); #16941=EDGE_CURVE('',#13166,#13237,#6959,.T.); #16942=EDGE_CURVE('',#13237,#13238,#10759,.T.); #16943=EDGE_CURVE('',#13238,#13239,#6960,.T.); #16944=EDGE_CURVE('',#13239,#13240,#10760,.T.); #16945=EDGE_CURVE('',#13240,#13203,#6961,.T.); #16946=EDGE_CURVE('',#13213,#13228,#6962,.T.); #16947=EDGE_CURVE('',#13241,#13242,#6963,.T.); #16948=EDGE_CURVE('',#13243,#13242,#6964,.T.); #16949=EDGE_CURVE('',#13244,#13243,#6965,.T.); #16950=EDGE_CURVE('',#13241,#13244,#6966,.T.); #16951=EDGE_CURVE('',#13243,#13245,#6967,.T.); #16952=EDGE_CURVE('',#13246,#13245,#6968,.T.); #16953=EDGE_CURVE('',#13244,#13246,#6969,.T.); #16954=EDGE_CURVE('',#13245,#13247,#6970,.T.); #16955=EDGE_CURVE('',#13248,#13247,#6971,.T.); #16956=EDGE_CURVE('',#13246,#13248,#6972,.T.); #16957=EDGE_CURVE('',#13249,#13247,#6973,.T.); #16958=EDGE_CURVE('',#13250,#13249,#6974,.T.); #16959=EDGE_CURVE('',#13250,#13248,#6975,.T.); #16960=EDGE_CURVE('',#13242,#13251,#6976,.T.); #16961=EDGE_CURVE('',#13241,#13252,#6977,.T.); #16962=EDGE_CURVE('',#13252,#13251,#6978,.T.); #16963=EDGE_CURVE('',#13253,#13254,#6979,.T.); #16964=EDGE_CURVE('',#13254,#13251,#6980,.T.); #16965=EDGE_CURVE('',#13253,#13252,#6981,.T.); #16966=EDGE_CURVE('',#13254,#13255,#6982,.T.); #16967=EDGE_CURVE('',#13249,#13255,#6983,.T.); #16968=EDGE_CURVE('',#13256,#13255,#6984,.T.); #16969=EDGE_CURVE('',#13250,#13256,#6985,.T.); #16970=EDGE_CURVE('',#13253,#13256,#6986,.T.); #16971=EDGE_CURVE('',#13257,#13120,#6987,.T.); #16972=EDGE_CURVE('',#13258,#13119,#6988,.T.); #16973=EDGE_CURVE('',#13258,#13257,#6989,.T.); #16974=EDGE_CURVE('',#13259,#13118,#6990,.T.); #16975=EDGE_CURVE('',#13260,#13117,#6991,.T.); #16976=EDGE_CURVE('',#13260,#13259,#6992,.T.); #16977=EDGE_CURVE('',#13261,#13128,#6993,.T.); #16978=EDGE_CURVE('',#13261,#13260,#6994,.T.); #16979=EDGE_CURVE('',#13262,#13127,#6995,.T.); #16980=EDGE_CURVE('',#13263,#13126,#6996,.T.); #16981=EDGE_CURVE('',#13263,#13262,#6997,.T.); #16982=EDGE_CURVE('',#13264,#13125,#6998,.T.); #16983=EDGE_CURVE('',#13264,#13263,#6999,.T.); #16984=EDGE_CURVE('',#13265,#13124,#7000,.T.); #16985=EDGE_CURVE('',#13266,#13123,#7001,.T.); #16986=EDGE_CURVE('',#13266,#13265,#7002,.T.); #16987=EDGE_CURVE('',#13267,#13122,#7003,.T.); #16988=EDGE_CURVE('',#13267,#13266,#7004,.T.); #16989=EDGE_CURVE('',#13268,#13121,#7005,.T.); #16990=EDGE_CURVE('',#13257,#13268,#7006,.T.); #16991=EDGE_CURVE('',#13259,#13258,#7007,.T.); #16992=EDGE_CURVE('',#13262,#13261,#7008,.T.); #16993=EDGE_CURVE('',#13265,#13264,#7009,.T.); #16994=EDGE_CURVE('',#13268,#13267,#7010,.T.); #16995=EDGE_CURVE('',#13253,#13267,#10761,.T.); #16996=EDGE_CURVE('',#13254,#13122,#10762,.T.); #16997=EDGE_CURVE('',#13268,#13256,#10763,.T.); #16998=EDGE_CURVE('',#13121,#13255,#10764,.T.); #16999=EDGE_CURVE('',#13213,#13264,#10765,.T.); #17000=EDGE_CURVE('',#13214,#13125,#10766,.T.); #17001=EDGE_CURVE('',#13265,#13228,#10767,.T.); #17002=EDGE_CURVE('',#13124,#13217,#10768,.T.); #17003=EDGE_CURVE('',#13197,#13261,#10769,.T.); #17004=EDGE_CURVE('',#13198,#13128,#10770,.T.); #17005=EDGE_CURVE('',#13262,#13200,#10771,.T.); #17006=EDGE_CURVE('',#13127,#13199,#10772,.T.); #17007=EDGE_CURVE('',#13181,#13258,#10773,.T.); #17008=EDGE_CURVE('',#13182,#13119,#10774,.T.); #17009=EDGE_CURVE('',#13259,#13184,#10775,.T.); #17010=EDGE_CURVE('',#13118,#13183,#10776,.T.); #17011=EDGE_CURVE('',#13237,#13221,#7011,.T.); #17012=EDGE_CURVE('',#13238,#13220,#7012,.T.); #17013=EDGE_CURVE('',#13239,#13219,#7013,.T.); #17014=EDGE_CURVE('',#13240,#13218,#7014,.T.); #17015=EDGE_CURVE('',#13233,#13225,#7015,.T.); #17016=EDGE_CURVE('',#13234,#13224,#7016,.T.); #17017=EDGE_CURVE('',#13235,#13223,#7017,.T.); #17018=EDGE_CURVE('',#13236,#13222,#7018,.T.); #17019=EDGE_CURVE('',#13229,#13215,#7019,.T.); #17020=EDGE_CURVE('',#13230,#13216,#7020,.T.); #17021=EDGE_CURVE('',#13231,#13227,#7021,.T.); #17022=EDGE_CURVE('',#13232,#13226,#7022,.T.); #17023=ORIENTED_EDGE('',*,*,#13269,.T.); #17024=ORIENTED_EDGE('',*,*,#13270,.F.); #17025=ORIENTED_EDGE('',*,*,#13271,.F.); #17026=ORIENTED_EDGE('',*,*,#13272,.F.); #17027=ORIENTED_EDGE('',*,*,#13273,.F.); #17028=ORIENTED_EDGE('',*,*,#13272,.T.); #17029=ORIENTED_EDGE('',*,*,#13274,.T.); #17030=ORIENTED_EDGE('',*,*,#13275,.F.); #17031=ORIENTED_EDGE('',*,*,#13276,.T.); #17032=ORIENTED_EDGE('',*,*,#13277,.F.); #17033=ORIENTED_EDGE('',*,*,#13278,.F.); #17034=ORIENTED_EDGE('',*,*,#13279,.T.); #17035=ORIENTED_EDGE('',*,*,#13280,.T.); #17036=ORIENTED_EDGE('',*,*,#13281,.F.); #17037=ORIENTED_EDGE('',*,*,#13282,.F.); #17038=ORIENTED_EDGE('',*,*,#13277,.T.); #17039=ORIENTED_EDGE('',*,*,#13283,.T.); #17040=ORIENTED_EDGE('',*,*,#13270,.T.); #17041=ORIENTED_EDGE('',*,*,#13284,.F.); #17042=ORIENTED_EDGE('',*,*,#13281,.T.); #17043=ORIENTED_EDGE('',*,*,#13285,.T.); #17044=ORIENTED_EDGE('',*,*,#13279,.F.); #17045=ORIENTED_EDGE('',*,*,#13286,.F.); #17046=ORIENTED_EDGE('',*,*,#13275,.T.); #17047=ORIENTED_EDGE('',*,*,#13269,.F.); #17048=ORIENTED_EDGE('',*,*,#13273,.T.); #17049=ORIENTED_EDGE('',*,*,#13286,.T.); #17050=ORIENTED_EDGE('',*,*,#13278,.T.); #17051=ORIENTED_EDGE('',*,*,#13282,.T.); #17052=ORIENTED_EDGE('',*,*,#13284,.T.); #17053=ORIENTED_EDGE('',*,*,#13274,.F.); #17054=ORIENTED_EDGE('',*,*,#13271,.T.); #17055=ORIENTED_EDGE('',*,*,#13283,.F.); #17056=ORIENTED_EDGE('',*,*,#13280,.F.); #17057=ORIENTED_EDGE('',*,*,#13276,.F.); #17058=ORIENTED_EDGE('',*,*,#13285,.F.); #17059=ORIENTED_EDGE('',*,*,#13287,.F.); #17060=ORIENTED_EDGE('',*,*,#13288,.T.); #17061=ORIENTED_EDGE('',*,*,#13289,.F.); #17062=ORIENTED_EDGE('',*,*,#13290,.F.); #17063=ORIENTED_EDGE('',*,*,#13291,.F.); #17064=ORIENTED_EDGE('',*,*,#13292,.T.); #17065=ORIENTED_EDGE('',*,*,#13293,.F.); #17066=ORIENTED_EDGE('',*,*,#13294,.F.); #17067=ORIENTED_EDGE('',*,*,#13295,.F.); #17068=ORIENTED_EDGE('',*,*,#13294,.T.); #17069=ORIENTED_EDGE('',*,*,#13296,.F.); #17070=ORIENTED_EDGE('',*,*,#13288,.F.); #17071=ORIENTED_EDGE('',*,*,#13297,.T.); #17072=ORIENTED_EDGE('',*,*,#13298,.T.); #17073=ORIENTED_EDGE('',*,*,#13299,.T.); #17074=ORIENTED_EDGE('',*,*,#13289,.T.); #17075=ORIENTED_EDGE('',*,*,#13296,.T.); #17076=ORIENTED_EDGE('',*,*,#13293,.T.); #17077=ORIENTED_EDGE('',*,*,#13300,.F.); #17078=ORIENTED_EDGE('',*,*,#13301,.T.); #17079=ORIENTED_EDGE('',*,*,#13302,.F.); #17080=ORIENTED_EDGE('',*,*,#13303,.F.); #17081=ORIENTED_EDGE('',*,*,#13304,.F.); #17082=ORIENTED_EDGE('',*,*,#13305,.T.); #17083=ORIENTED_EDGE('',*,*,#13306,.F.); #17084=ORIENTED_EDGE('',*,*,#13307,.F.); #17085=ORIENTED_EDGE('',*,*,#13308,.F.); #17086=ORIENTED_EDGE('',*,*,#13307,.T.); #17087=ORIENTED_EDGE('',*,*,#13309,.F.); #17088=ORIENTED_EDGE('',*,*,#13301,.F.); #17089=ORIENTED_EDGE('',*,*,#13310,.T.); #17090=ORIENTED_EDGE('',*,*,#13311,.T.); #17091=ORIENTED_EDGE('',*,*,#13312,.T.); #17092=ORIENTED_EDGE('',*,*,#13302,.T.); #17093=ORIENTED_EDGE('',*,*,#13309,.T.); #17094=ORIENTED_EDGE('',*,*,#13306,.T.); #17095=ORIENTED_EDGE('',*,*,#13313,.F.); #17096=ORIENTED_EDGE('',*,*,#13314,.T.); #17097=ORIENTED_EDGE('',*,*,#13315,.F.); #17098=ORIENTED_EDGE('',*,*,#13316,.F.); #17099=ORIENTED_EDGE('',*,*,#13317,.F.); #17100=ORIENTED_EDGE('',*,*,#13318,.T.); #17101=ORIENTED_EDGE('',*,*,#13319,.F.); #17102=ORIENTED_EDGE('',*,*,#13320,.F.); #17103=ORIENTED_EDGE('',*,*,#13321,.F.); #17104=ORIENTED_EDGE('',*,*,#13320,.T.); #17105=ORIENTED_EDGE('',*,*,#13322,.F.); #17106=ORIENTED_EDGE('',*,*,#13314,.F.); #17107=ORIENTED_EDGE('',*,*,#13323,.T.); #17108=ORIENTED_EDGE('',*,*,#13324,.T.); #17109=ORIENTED_EDGE('',*,*,#13325,.T.); #17110=ORIENTED_EDGE('',*,*,#13315,.T.); #17111=ORIENTED_EDGE('',*,*,#13322,.T.); #17112=ORIENTED_EDGE('',*,*,#13319,.T.); #17113=ORIENTED_EDGE('',*,*,#13326,.F.); #17114=ORIENTED_EDGE('',*,*,#13327,.T.); #17115=ORIENTED_EDGE('',*,*,#13328,.F.); #17116=ORIENTED_EDGE('',*,*,#13329,.F.); #17117=ORIENTED_EDGE('',*,*,#13330,.F.); #17118=ORIENTED_EDGE('',*,*,#13331,.T.); #17119=ORIENTED_EDGE('',*,*,#13332,.F.); #17120=ORIENTED_EDGE('',*,*,#13333,.F.); #17121=ORIENTED_EDGE('',*,*,#13334,.F.); #17122=ORIENTED_EDGE('',*,*,#13333,.T.); #17123=ORIENTED_EDGE('',*,*,#13335,.F.); #17124=ORIENTED_EDGE('',*,*,#13327,.F.); #17125=ORIENTED_EDGE('',*,*,#13336,.T.); #17126=ORIENTED_EDGE('',*,*,#13337,.T.); #17127=ORIENTED_EDGE('',*,*,#13338,.T.); #17128=ORIENTED_EDGE('',*,*,#13328,.T.); #17129=ORIENTED_EDGE('',*,*,#13335,.T.); #17130=ORIENTED_EDGE('',*,*,#13332,.T.); #17131=ORIENTED_EDGE('',*,*,#13339,.F.); #17132=ORIENTED_EDGE('',*,*,#13340,.T.); #17133=ORIENTED_EDGE('',*,*,#13341,.F.); #17134=ORIENTED_EDGE('',*,*,#13342,.F.); #17135=ORIENTED_EDGE('',*,*,#13343,.F.); #17136=ORIENTED_EDGE('',*,*,#13344,.T.); #17137=ORIENTED_EDGE('',*,*,#13345,.F.); #17138=ORIENTED_EDGE('',*,*,#13346,.F.); #17139=ORIENTED_EDGE('',*,*,#13347,.F.); #17140=ORIENTED_EDGE('',*,*,#13346,.T.); #17141=ORIENTED_EDGE('',*,*,#13348,.F.); #17142=ORIENTED_EDGE('',*,*,#13340,.F.); #17143=ORIENTED_EDGE('',*,*,#13349,.T.); #17144=ORIENTED_EDGE('',*,*,#13350,.T.); #17145=ORIENTED_EDGE('',*,*,#13351,.T.); #17146=ORIENTED_EDGE('',*,*,#13341,.T.); #17147=ORIENTED_EDGE('',*,*,#13348,.T.); #17148=ORIENTED_EDGE('',*,*,#13345,.T.); #17149=ORIENTED_EDGE('',*,*,#13352,.F.); #17150=ORIENTED_EDGE('',*,*,#13353,.T.); #17151=ORIENTED_EDGE('',*,*,#13354,.F.); #17152=ORIENTED_EDGE('',*,*,#13355,.F.); #17153=ORIENTED_EDGE('',*,*,#13356,.F.); #17154=ORIENTED_EDGE('',*,*,#13357,.T.); #17155=ORIENTED_EDGE('',*,*,#13358,.F.); #17156=ORIENTED_EDGE('',*,*,#13359,.F.); #17157=ORIENTED_EDGE('',*,*,#13360,.F.); #17158=ORIENTED_EDGE('',*,*,#13359,.T.); #17159=ORIENTED_EDGE('',*,*,#13361,.F.); #17160=ORIENTED_EDGE('',*,*,#13353,.F.); #17161=ORIENTED_EDGE('',*,*,#13362,.T.); #17162=ORIENTED_EDGE('',*,*,#13363,.T.); #17163=ORIENTED_EDGE('',*,*,#13354,.T.); #17164=ORIENTED_EDGE('',*,*,#13361,.T.); #17165=ORIENTED_EDGE('',*,*,#13358,.T.); #17166=ORIENTED_EDGE('',*,*,#13364,.T.); #17167=ORIENTED_EDGE('',*,*,#13365,.F.); #17168=ORIENTED_EDGE('',*,*,#13366,.T.); #17169=ORIENTED_EDGE('',*,*,#13367,.F.); #17170=ORIENTED_EDGE('',*,*,#13368,.F.); #17171=ORIENTED_EDGE('',*,*,#13369,.F.); #17172=ORIENTED_EDGE('',*,*,#13370,.T.); #17173=ORIENTED_EDGE('',*,*,#13371,.F.); #17174=ORIENTED_EDGE('',*,*,#13372,.F.); #17175=ORIENTED_EDGE('',*,*,#13373,.F.); #17176=ORIENTED_EDGE('',*,*,#13372,.T.); #17177=ORIENTED_EDGE('',*,*,#13374,.F.); #17178=ORIENTED_EDGE('',*,*,#13366,.F.); #17179=ORIENTED_EDGE('',*,*,#13375,.T.); #17180=ORIENTED_EDGE('',*,*,#13376,.T.); #17181=ORIENTED_EDGE('',*,*,#13367,.T.); #17182=ORIENTED_EDGE('',*,*,#13374,.T.); #17183=ORIENTED_EDGE('',*,*,#13371,.T.); #17184=ORIENTED_EDGE('',*,*,#13377,.T.); #17185=ORIENTED_EDGE('',*,*,#13378,.F.); #17186=ORIENTED_EDGE('',*,*,#13379,.T.); #17187=ORIENTED_EDGE('',*,*,#13380,.F.); #17188=ORIENTED_EDGE('',*,*,#13381,.F.); #17189=ORIENTED_EDGE('',*,*,#13382,.F.); #17190=ORIENTED_EDGE('',*,*,#13383,.T.); #17191=ORIENTED_EDGE('',*,*,#13384,.F.); #17192=ORIENTED_EDGE('',*,*,#13385,.F.); #17193=ORIENTED_EDGE('',*,*,#13386,.F.); #17194=ORIENTED_EDGE('',*,*,#13385,.T.); #17195=ORIENTED_EDGE('',*,*,#13387,.F.); #17196=ORIENTED_EDGE('',*,*,#13379,.F.); #17197=ORIENTED_EDGE('',*,*,#13388,.T.); #17198=ORIENTED_EDGE('',*,*,#13389,.T.); #17199=ORIENTED_EDGE('',*,*,#13380,.T.); #17200=ORIENTED_EDGE('',*,*,#13387,.T.); #17201=ORIENTED_EDGE('',*,*,#13384,.T.); #17202=ORIENTED_EDGE('',*,*,#13390,.T.); #17203=ORIENTED_EDGE('',*,*,#13391,.F.); #17204=ORIENTED_EDGE('',*,*,#13392,.T.); #17205=ORIENTED_EDGE('',*,*,#13393,.F.); #17206=ORIENTED_EDGE('',*,*,#13394,.F.); #17207=ORIENTED_EDGE('',*,*,#13395,.F.); #17208=ORIENTED_EDGE('',*,*,#13396,.T.); #17209=ORIENTED_EDGE('',*,*,#13397,.F.); #17210=ORIENTED_EDGE('',*,*,#13398,.F.); #17211=ORIENTED_EDGE('',*,*,#13399,.F.); #17212=ORIENTED_EDGE('',*,*,#13398,.T.); #17213=ORIENTED_EDGE('',*,*,#13400,.F.); #17214=ORIENTED_EDGE('',*,*,#13392,.F.); #17215=ORIENTED_EDGE('',*,*,#13401,.T.); #17216=ORIENTED_EDGE('',*,*,#13402,.T.); #17217=ORIENTED_EDGE('',*,*,#13393,.T.); #17218=ORIENTED_EDGE('',*,*,#13400,.T.); #17219=ORIENTED_EDGE('',*,*,#13397,.T.); #17220=ORIENTED_EDGE('',*,*,#13403,.T.); #17221=ORIENTED_EDGE('',*,*,#13404,.F.); #17222=ORIENTED_EDGE('',*,*,#13405,.T.); #17223=ORIENTED_EDGE('',*,*,#13406,.F.); #17224=ORIENTED_EDGE('',*,*,#13407,.F.); #17225=ORIENTED_EDGE('',*,*,#13408,.F.); #17226=ORIENTED_EDGE('',*,*,#13409,.T.); #17227=ORIENTED_EDGE('',*,*,#13410,.F.); #17228=ORIENTED_EDGE('',*,*,#13411,.F.); #17229=ORIENTED_EDGE('',*,*,#13412,.F.); #17230=ORIENTED_EDGE('',*,*,#13411,.T.); #17231=ORIENTED_EDGE('',*,*,#13413,.F.); #17232=ORIENTED_EDGE('',*,*,#13405,.F.); #17233=ORIENTED_EDGE('',*,*,#13414,.T.); #17234=ORIENTED_EDGE('',*,*,#13415,.T.); #17235=ORIENTED_EDGE('',*,*,#13406,.T.); #17236=ORIENTED_EDGE('',*,*,#13413,.T.); #17237=ORIENTED_EDGE('',*,*,#13410,.T.); #17238=ORIENTED_EDGE('',*,*,#13416,.T.); #17239=ORIENTED_EDGE('',*,*,#13417,.F.); #17240=ORIENTED_EDGE('',*,*,#13418,.T.); #17241=ORIENTED_EDGE('',*,*,#13419,.F.); #17242=ORIENTED_EDGE('',*,*,#13420,.F.); #17243=ORIENTED_EDGE('',*,*,#13421,.F.); #17244=ORIENTED_EDGE('',*,*,#13422,.T.); #17245=ORIENTED_EDGE('',*,*,#13423,.F.); #17246=ORIENTED_EDGE('',*,*,#13424,.F.); #17247=ORIENTED_EDGE('',*,*,#13425,.F.); #17248=ORIENTED_EDGE('',*,*,#13424,.T.); #17249=ORIENTED_EDGE('',*,*,#13426,.F.); #17250=ORIENTED_EDGE('',*,*,#13418,.F.); #17251=ORIENTED_EDGE('',*,*,#13427,.T.); #17252=ORIENTED_EDGE('',*,*,#13428,.T.); #17253=ORIENTED_EDGE('',*,*,#13419,.T.); #17254=ORIENTED_EDGE('',*,*,#13426,.T.); #17255=ORIENTED_EDGE('',*,*,#13423,.T.); #17256=ORIENTED_EDGE('',*,*,#13429,.T.); #17257=ORIENTED_EDGE('',*,*,#13430,.F.); #17258=ORIENTED_EDGE('',*,*,#13431,.T.); #17259=ORIENTED_EDGE('',*,*,#13432,.F.); #17260=ORIENTED_EDGE('',*,*,#13433,.F.); #17261=ORIENTED_EDGE('',*,*,#13434,.F.); #17262=ORIENTED_EDGE('',*,*,#13435,.T.); #17263=ORIENTED_EDGE('',*,*,#13436,.F.); #17264=ORIENTED_EDGE('',*,*,#13437,.F.); #17265=ORIENTED_EDGE('',*,*,#13438,.F.); #17266=ORIENTED_EDGE('',*,*,#13437,.T.); #17267=ORIENTED_EDGE('',*,*,#13439,.F.); #17268=ORIENTED_EDGE('',*,*,#13431,.F.); #17269=ORIENTED_EDGE('',*,*,#13440,.T.); #17270=ORIENTED_EDGE('',*,*,#13441,.T.); #17271=ORIENTED_EDGE('',*,*,#13432,.T.); #17272=ORIENTED_EDGE('',*,*,#13439,.T.); #17273=ORIENTED_EDGE('',*,*,#13436,.T.); #17274=ORIENTED_EDGE('',*,*,#13442,.T.); #17275=ORIENTED_EDGE('',*,*,#13443,.F.); #17276=ORIENTED_EDGE('',*,*,#13444,.T.); #17277=ORIENTED_EDGE('',*,*,#13445,.F.); #17278=ORIENTED_EDGE('',*,*,#13446,.F.); #17279=ORIENTED_EDGE('',*,*,#13447,.F.); #17280=ORIENTED_EDGE('',*,*,#13448,.T.); #17281=ORIENTED_EDGE('',*,*,#13449,.F.); #17282=ORIENTED_EDGE('',*,*,#13450,.F.); #17283=ORIENTED_EDGE('',*,*,#13451,.F.); #17284=ORIENTED_EDGE('',*,*,#13450,.T.); #17285=ORIENTED_EDGE('',*,*,#13452,.F.); #17286=ORIENTED_EDGE('',*,*,#13444,.F.); #17287=ORIENTED_EDGE('',*,*,#13453,.T.); #17288=ORIENTED_EDGE('',*,*,#13454,.T.); #17289=ORIENTED_EDGE('',*,*,#13445,.T.); #17290=ORIENTED_EDGE('',*,*,#13452,.T.); #17291=ORIENTED_EDGE('',*,*,#13449,.T.); #17292=ORIENTED_EDGE('',*,*,#13455,.T.); #17293=ORIENTED_EDGE('',*,*,#13456,.F.); #17294=ORIENTED_EDGE('',*,*,#13457,.T.); #17295=ORIENTED_EDGE('',*,*,#13458,.F.); #17296=ORIENTED_EDGE('',*,*,#13459,.F.); #17297=ORIENTED_EDGE('',*,*,#13460,.F.); #17298=ORIENTED_EDGE('',*,*,#13461,.T.); #17299=ORIENTED_EDGE('',*,*,#13462,.F.); #17300=ORIENTED_EDGE('',*,*,#13463,.F.); #17301=ORIENTED_EDGE('',*,*,#13464,.F.); #17302=ORIENTED_EDGE('',*,*,#13463,.T.); #17303=ORIENTED_EDGE('',*,*,#13465,.F.); #17304=ORIENTED_EDGE('',*,*,#13457,.F.); #17305=ORIENTED_EDGE('',*,*,#13466,.T.); #17306=ORIENTED_EDGE('',*,*,#13467,.T.); #17307=ORIENTED_EDGE('',*,*,#13458,.T.); #17308=ORIENTED_EDGE('',*,*,#13465,.T.); #17309=ORIENTED_EDGE('',*,*,#13462,.T.); #17310=ORIENTED_EDGE('',*,*,#13468,.T.); #17311=ORIENTED_EDGE('',*,*,#13469,.F.); #17312=ORIENTED_EDGE('',*,*,#13470,.T.); #17313=ORIENTED_EDGE('',*,*,#13471,.F.); #17314=ORIENTED_EDGE('',*,*,#13472,.F.); #17315=ORIENTED_EDGE('',*,*,#13473,.F.); #17316=ORIENTED_EDGE('',*,*,#13474,.T.); #17317=ORIENTED_EDGE('',*,*,#13475,.F.); #17318=ORIENTED_EDGE('',*,*,#13476,.F.); #17319=ORIENTED_EDGE('',*,*,#13477,.F.); #17320=ORIENTED_EDGE('',*,*,#13476,.T.); #17321=ORIENTED_EDGE('',*,*,#13478,.F.); #17322=ORIENTED_EDGE('',*,*,#13470,.F.); #17323=ORIENTED_EDGE('',*,*,#13479,.T.); #17324=ORIENTED_EDGE('',*,*,#13480,.T.); #17325=ORIENTED_EDGE('',*,*,#13471,.T.); #17326=ORIENTED_EDGE('',*,*,#13478,.T.); #17327=ORIENTED_EDGE('',*,*,#13475,.T.); #17328=ORIENTED_EDGE('',*,*,#13481,.T.); #17329=ORIENTED_EDGE('',*,*,#13482,.F.); #17330=ORIENTED_EDGE('',*,*,#13483,.T.); #17331=ORIENTED_EDGE('',*,*,#13484,.F.); #17332=ORIENTED_EDGE('',*,*,#13485,.F.); #17333=ORIENTED_EDGE('',*,*,#13486,.F.); #17334=ORIENTED_EDGE('',*,*,#13487,.T.); #17335=ORIENTED_EDGE('',*,*,#13488,.F.); #17336=ORIENTED_EDGE('',*,*,#13489,.F.); #17337=ORIENTED_EDGE('',*,*,#13490,.F.); #17338=ORIENTED_EDGE('',*,*,#13489,.T.); #17339=ORIENTED_EDGE('',*,*,#13491,.F.); #17340=ORIENTED_EDGE('',*,*,#13483,.F.); #17341=ORIENTED_EDGE('',*,*,#13492,.T.); #17342=ORIENTED_EDGE('',*,*,#13493,.T.); #17343=ORIENTED_EDGE('',*,*,#13494,.T.); #17344=ORIENTED_EDGE('',*,*,#13484,.T.); #17345=ORIENTED_EDGE('',*,*,#13491,.T.); #17346=ORIENTED_EDGE('',*,*,#13488,.T.); #17347=ORIENTED_EDGE('',*,*,#13495,.F.); #17348=ORIENTED_EDGE('',*,*,#13496,.T.); #17349=ORIENTED_EDGE('',*,*,#13497,.F.); #17350=ORIENTED_EDGE('',*,*,#13498,.F.); #17351=ORIENTED_EDGE('',*,*,#13499,.F.); #17352=ORIENTED_EDGE('',*,*,#13500,.T.); #17353=ORIENTED_EDGE('',*,*,#13501,.F.); #17354=ORIENTED_EDGE('',*,*,#13502,.F.); #17355=ORIENTED_EDGE('',*,*,#13503,.F.); #17356=ORIENTED_EDGE('',*,*,#13502,.T.); #17357=ORIENTED_EDGE('',*,*,#13504,.F.); #17358=ORIENTED_EDGE('',*,*,#13496,.F.); #17359=ORIENTED_EDGE('',*,*,#13505,.T.); #17360=ORIENTED_EDGE('',*,*,#13506,.T.); #17361=ORIENTED_EDGE('',*,*,#13507,.T.); #17362=ORIENTED_EDGE('',*,*,#13497,.T.); #17363=ORIENTED_EDGE('',*,*,#13504,.T.); #17364=ORIENTED_EDGE('',*,*,#13501,.T.); #17365=ORIENTED_EDGE('',*,*,#13508,.F.); #17366=ORIENTED_EDGE('',*,*,#13509,.T.); #17367=ORIENTED_EDGE('',*,*,#13510,.F.); #17368=ORIENTED_EDGE('',*,*,#13511,.F.); #17369=ORIENTED_EDGE('',*,*,#13512,.F.); #17370=ORIENTED_EDGE('',*,*,#13513,.T.); #17371=ORIENTED_EDGE('',*,*,#13514,.F.); #17372=ORIENTED_EDGE('',*,*,#13515,.F.); #17373=ORIENTED_EDGE('',*,*,#13516,.F.); #17374=ORIENTED_EDGE('',*,*,#13515,.T.); #17375=ORIENTED_EDGE('',*,*,#13517,.F.); #17376=ORIENTED_EDGE('',*,*,#13509,.F.); #17377=ORIENTED_EDGE('',*,*,#13518,.T.); #17378=ORIENTED_EDGE('',*,*,#13519,.T.); #17379=ORIENTED_EDGE('',*,*,#13520,.T.); #17380=ORIENTED_EDGE('',*,*,#13510,.T.); #17381=ORIENTED_EDGE('',*,*,#13517,.T.); #17382=ORIENTED_EDGE('',*,*,#13514,.T.); #17383=ORIENTED_EDGE('',*,*,#13521,.F.); #17384=ORIENTED_EDGE('',*,*,#13522,.T.); #17385=ORIENTED_EDGE('',*,*,#13523,.F.); #17386=ORIENTED_EDGE('',*,*,#13524,.F.); #17387=ORIENTED_EDGE('',*,*,#13525,.F.); #17388=ORIENTED_EDGE('',*,*,#13526,.T.); #17389=ORIENTED_EDGE('',*,*,#13527,.F.); #17390=ORIENTED_EDGE('',*,*,#13528,.F.); #17391=ORIENTED_EDGE('',*,*,#13529,.F.); #17392=ORIENTED_EDGE('',*,*,#13528,.T.); #17393=ORIENTED_EDGE('',*,*,#13530,.F.); #17394=ORIENTED_EDGE('',*,*,#13522,.F.); #17395=ORIENTED_EDGE('',*,*,#13531,.T.); #17396=ORIENTED_EDGE('',*,*,#13532,.T.); #17397=ORIENTED_EDGE('',*,*,#13533,.T.); #17398=ORIENTED_EDGE('',*,*,#13523,.T.); #17399=ORIENTED_EDGE('',*,*,#13530,.T.); #17400=ORIENTED_EDGE('',*,*,#13527,.T.); #17401=ORIENTED_EDGE('',*,*,#13534,.F.); #17402=ORIENTED_EDGE('',*,*,#13535,.T.); #17403=ORIENTED_EDGE('',*,*,#13536,.F.); #17404=ORIENTED_EDGE('',*,*,#13537,.F.); #17405=ORIENTED_EDGE('',*,*,#13538,.F.); #17406=ORIENTED_EDGE('',*,*,#13539,.T.); #17407=ORIENTED_EDGE('',*,*,#13540,.F.); #17408=ORIENTED_EDGE('',*,*,#13541,.F.); #17409=ORIENTED_EDGE('',*,*,#13542,.F.); #17410=ORIENTED_EDGE('',*,*,#13541,.T.); #17411=ORIENTED_EDGE('',*,*,#13543,.F.); #17412=ORIENTED_EDGE('',*,*,#13535,.F.); #17413=ORIENTED_EDGE('',*,*,#13544,.T.); #17414=ORIENTED_EDGE('',*,*,#13545,.T.); #17415=ORIENTED_EDGE('',*,*,#13546,.T.); #17416=ORIENTED_EDGE('',*,*,#13536,.T.); #17417=ORIENTED_EDGE('',*,*,#13543,.T.); #17418=ORIENTED_EDGE('',*,*,#13540,.T.); #17419=ORIENTED_EDGE('',*,*,#13547,.F.); #17420=ORIENTED_EDGE('',*,*,#13548,.T.); #17421=ORIENTED_EDGE('',*,*,#13549,.F.); #17422=ORIENTED_EDGE('',*,*,#13550,.F.); #17423=ORIENTED_EDGE('',*,*,#13551,.F.); #17424=ORIENTED_EDGE('',*,*,#13552,.T.); #17425=ORIENTED_EDGE('',*,*,#13553,.F.); #17426=ORIENTED_EDGE('',*,*,#13554,.F.); #17427=ORIENTED_EDGE('',*,*,#13555,.F.); #17428=ORIENTED_EDGE('',*,*,#13554,.T.); #17429=ORIENTED_EDGE('',*,*,#13556,.F.); #17430=ORIENTED_EDGE('',*,*,#13548,.F.); #17431=ORIENTED_EDGE('',*,*,#13557,.T.); #17432=ORIENTED_EDGE('',*,*,#13558,.T.); #17433=ORIENTED_EDGE('',*,*,#13559,.T.); #17434=ORIENTED_EDGE('',*,*,#13549,.T.); #17435=ORIENTED_EDGE('',*,*,#13556,.T.); #17436=ORIENTED_EDGE('',*,*,#13553,.T.); #17437=ORIENTED_EDGE('',*,*,#13560,.F.); #17438=ORIENTED_EDGE('',*,*,#13561,.T.); #17439=ORIENTED_EDGE('',*,*,#13562,.F.); #17440=ORIENTED_EDGE('',*,*,#13563,.F.); #17441=ORIENTED_EDGE('',*,*,#13564,.F.); #17442=ORIENTED_EDGE('',*,*,#13565,.T.); #17443=ORIENTED_EDGE('',*,*,#13566,.F.); #17444=ORIENTED_EDGE('',*,*,#13567,.F.); #17445=ORIENTED_EDGE('',*,*,#13568,.F.); #17446=ORIENTED_EDGE('',*,*,#13567,.T.); #17447=ORIENTED_EDGE('',*,*,#13569,.F.); #17448=ORIENTED_EDGE('',*,*,#13561,.F.); #17449=ORIENTED_EDGE('',*,*,#13570,.T.); #17450=ORIENTED_EDGE('',*,*,#13571,.T.); #17451=ORIENTED_EDGE('',*,*,#13572,.T.); #17452=ORIENTED_EDGE('',*,*,#13562,.T.); #17453=ORIENTED_EDGE('',*,*,#13569,.T.); #17454=ORIENTED_EDGE('',*,*,#13566,.T.); #17455=ORIENTED_EDGE('',*,*,#13573,.F.); #17456=ORIENTED_EDGE('',*,*,#13574,.T.); #17457=ORIENTED_EDGE('',*,*,#13575,.F.); #17458=ORIENTED_EDGE('',*,*,#13576,.F.); #17459=ORIENTED_EDGE('',*,*,#13577,.F.); #17460=ORIENTED_EDGE('',*,*,#13578,.T.); #17461=ORIENTED_EDGE('',*,*,#13579,.F.); #17462=ORIENTED_EDGE('',*,*,#13580,.F.); #17463=ORIENTED_EDGE('',*,*,#13581,.F.); #17464=ORIENTED_EDGE('',*,*,#13580,.T.); #17465=ORIENTED_EDGE('',*,*,#13582,.F.); #17466=ORIENTED_EDGE('',*,*,#13574,.F.); #17467=ORIENTED_EDGE('',*,*,#13583,.T.); #17468=ORIENTED_EDGE('',*,*,#13584,.T.); #17469=ORIENTED_EDGE('',*,*,#13585,.T.); #17470=ORIENTED_EDGE('',*,*,#13575,.T.); #17471=ORIENTED_EDGE('',*,*,#13582,.T.); #17472=ORIENTED_EDGE('',*,*,#13579,.T.); #17473=ORIENTED_EDGE('',*,*,#13586,.F.); #17474=ORIENTED_EDGE('',*,*,#13587,.T.); #17475=ORIENTED_EDGE('',*,*,#13588,.F.); #17476=ORIENTED_EDGE('',*,*,#13589,.F.); #17477=ORIENTED_EDGE('',*,*,#13590,.F.); #17478=ORIENTED_EDGE('',*,*,#13591,.T.); #17479=ORIENTED_EDGE('',*,*,#13592,.F.); #17480=ORIENTED_EDGE('',*,*,#13593,.F.); #17481=ORIENTED_EDGE('',*,*,#13594,.F.); #17482=ORIENTED_EDGE('',*,*,#13593,.T.); #17483=ORIENTED_EDGE('',*,*,#13595,.F.); #17484=ORIENTED_EDGE('',*,*,#13587,.F.); #17485=ORIENTED_EDGE('',*,*,#13596,.T.); #17486=ORIENTED_EDGE('',*,*,#13597,.T.); #17487=ORIENTED_EDGE('',*,*,#13598,.T.); #17488=ORIENTED_EDGE('',*,*,#13588,.T.); #17489=ORIENTED_EDGE('',*,*,#13595,.T.); #17490=ORIENTED_EDGE('',*,*,#13592,.T.); #17491=ORIENTED_EDGE('',*,*,#13599,.F.); #17492=ORIENTED_EDGE('',*,*,#13600,.T.); #17493=ORIENTED_EDGE('',*,*,#13601,.F.); #17494=ORIENTED_EDGE('',*,*,#13602,.F.); #17495=ORIENTED_EDGE('',*,*,#13603,.F.); #17496=ORIENTED_EDGE('',*,*,#13604,.T.); #17497=ORIENTED_EDGE('',*,*,#13605,.F.); #17498=ORIENTED_EDGE('',*,*,#13606,.F.); #17499=ORIENTED_EDGE('',*,*,#13607,.F.); #17500=ORIENTED_EDGE('',*,*,#13606,.T.); #17501=ORIENTED_EDGE('',*,*,#13608,.F.); #17502=ORIENTED_EDGE('',*,*,#13600,.F.); #17503=ORIENTED_EDGE('',*,*,#13609,.T.); #17504=ORIENTED_EDGE('',*,*,#13610,.T.); #17505=ORIENTED_EDGE('',*,*,#13611,.T.); #17506=ORIENTED_EDGE('',*,*,#13601,.T.); #17507=ORIENTED_EDGE('',*,*,#13608,.T.); #17508=ORIENTED_EDGE('',*,*,#13605,.T.); #17509=ORIENTED_EDGE('',*,*,#13612,.F.); #17510=ORIENTED_EDGE('',*,*,#13613,.T.); #17511=ORIENTED_EDGE('',*,*,#13614,.F.); #17512=ORIENTED_EDGE('',*,*,#13615,.F.); #17513=ORIENTED_EDGE('',*,*,#13616,.F.); #17514=ORIENTED_EDGE('',*,*,#13617,.T.); #17515=ORIENTED_EDGE('',*,*,#13618,.F.); #17516=ORIENTED_EDGE('',*,*,#13619,.F.); #17517=ORIENTED_EDGE('',*,*,#13620,.F.); #17518=ORIENTED_EDGE('',*,*,#13619,.T.); #17519=ORIENTED_EDGE('',*,*,#13621,.F.); #17520=ORIENTED_EDGE('',*,*,#13613,.F.); #17521=ORIENTED_EDGE('',*,*,#13622,.T.); #17522=ORIENTED_EDGE('',*,*,#13623,.T.); #17523=ORIENTED_EDGE('',*,*,#13624,.T.); #17524=ORIENTED_EDGE('',*,*,#13614,.T.); #17525=ORIENTED_EDGE('',*,*,#13621,.T.); #17526=ORIENTED_EDGE('',*,*,#13618,.T.); #17527=ORIENTED_EDGE('',*,*,#13625,.F.); #17528=ORIENTED_EDGE('',*,*,#13626,.T.); #17529=ORIENTED_EDGE('',*,*,#13627,.F.); #17530=ORIENTED_EDGE('',*,*,#13628,.F.); #17531=ORIENTED_EDGE('',*,*,#13629,.F.); #17532=ORIENTED_EDGE('',*,*,#13630,.T.); #17533=ORIENTED_EDGE('',*,*,#13631,.F.); #17534=ORIENTED_EDGE('',*,*,#13632,.F.); #17535=ORIENTED_EDGE('',*,*,#13633,.F.); #17536=ORIENTED_EDGE('',*,*,#13632,.T.); #17537=ORIENTED_EDGE('',*,*,#13634,.F.); #17538=ORIENTED_EDGE('',*,*,#13626,.F.); #17539=ORIENTED_EDGE('',*,*,#13635,.T.); #17540=ORIENTED_EDGE('',*,*,#13636,.T.); #17541=ORIENTED_EDGE('',*,*,#13627,.T.); #17542=ORIENTED_EDGE('',*,*,#13634,.T.); #17543=ORIENTED_EDGE('',*,*,#13631,.T.); #17544=ORIENTED_EDGE('',*,*,#13637,.T.); #17545=ORIENTED_EDGE('',*,*,#13638,.F.); #17546=ORIENTED_EDGE('',*,*,#13639,.T.); #17547=ORIENTED_EDGE('',*,*,#13640,.F.); #17548=ORIENTED_EDGE('',*,*,#13641,.F.); #17549=ORIENTED_EDGE('',*,*,#13642,.F.); #17550=ORIENTED_EDGE('',*,*,#13643,.T.); #17551=ORIENTED_EDGE('',*,*,#13644,.F.); #17552=ORIENTED_EDGE('',*,*,#13645,.F.); #17553=ORIENTED_EDGE('',*,*,#13646,.F.); #17554=ORIENTED_EDGE('',*,*,#13645,.T.); #17555=ORIENTED_EDGE('',*,*,#13647,.F.); #17556=ORIENTED_EDGE('',*,*,#13639,.F.); #17557=ORIENTED_EDGE('',*,*,#13648,.T.); #17558=ORIENTED_EDGE('',*,*,#13649,.T.); #17559=ORIENTED_EDGE('',*,*,#13640,.T.); #17560=ORIENTED_EDGE('',*,*,#13647,.T.); #17561=ORIENTED_EDGE('',*,*,#13644,.T.); #17562=ORIENTED_EDGE('',*,*,#13650,.T.); #17563=ORIENTED_EDGE('',*,*,#13651,.F.); #17564=ORIENTED_EDGE('',*,*,#13652,.T.); #17565=ORIENTED_EDGE('',*,*,#13653,.F.); #17566=ORIENTED_EDGE('',*,*,#13654,.F.); #17567=ORIENTED_EDGE('',*,*,#13655,.F.); #17568=ORIENTED_EDGE('',*,*,#13656,.T.); #17569=ORIENTED_EDGE('',*,*,#13657,.F.); #17570=ORIENTED_EDGE('',*,*,#13658,.F.); #17571=ORIENTED_EDGE('',*,*,#13659,.F.); #17572=ORIENTED_EDGE('',*,*,#13658,.T.); #17573=ORIENTED_EDGE('',*,*,#13660,.F.); #17574=ORIENTED_EDGE('',*,*,#13652,.F.); #17575=ORIENTED_EDGE('',*,*,#13661,.T.); #17576=ORIENTED_EDGE('',*,*,#13662,.T.); #17577=ORIENTED_EDGE('',*,*,#13653,.T.); #17578=ORIENTED_EDGE('',*,*,#13660,.T.); #17579=ORIENTED_EDGE('',*,*,#13657,.T.); #17580=ORIENTED_EDGE('',*,*,#13663,.T.); #17581=ORIENTED_EDGE('',*,*,#13664,.F.); #17582=ORIENTED_EDGE('',*,*,#13665,.T.); #17583=ORIENTED_EDGE('',*,*,#13666,.F.); #17584=ORIENTED_EDGE('',*,*,#13667,.F.); #17585=ORIENTED_EDGE('',*,*,#13668,.F.); #17586=ORIENTED_EDGE('',*,*,#13669,.T.); #17587=ORIENTED_EDGE('',*,*,#13670,.F.); #17588=ORIENTED_EDGE('',*,*,#13671,.F.); #17589=ORIENTED_EDGE('',*,*,#13672,.F.); #17590=ORIENTED_EDGE('',*,*,#13671,.T.); #17591=ORIENTED_EDGE('',*,*,#13673,.F.); #17592=ORIENTED_EDGE('',*,*,#13665,.F.); #17593=ORIENTED_EDGE('',*,*,#13674,.T.); #17594=ORIENTED_EDGE('',*,*,#13675,.T.); #17595=ORIENTED_EDGE('',*,*,#13666,.T.); #17596=ORIENTED_EDGE('',*,*,#13673,.T.); #17597=ORIENTED_EDGE('',*,*,#13670,.T.); #17598=ORIENTED_EDGE('',*,*,#13676,.T.); #17599=ORIENTED_EDGE('',*,*,#13677,.F.); #17600=ORIENTED_EDGE('',*,*,#13678,.T.); #17601=ORIENTED_EDGE('',*,*,#13679,.F.); #17602=ORIENTED_EDGE('',*,*,#13680,.F.); #17603=ORIENTED_EDGE('',*,*,#13681,.F.); #17604=ORIENTED_EDGE('',*,*,#13682,.T.); #17605=ORIENTED_EDGE('',*,*,#13683,.F.); #17606=ORIENTED_EDGE('',*,*,#13684,.F.); #17607=ORIENTED_EDGE('',*,*,#13685,.F.); #17608=ORIENTED_EDGE('',*,*,#13684,.T.); #17609=ORIENTED_EDGE('',*,*,#13686,.F.); #17610=ORIENTED_EDGE('',*,*,#13678,.F.); #17611=ORIENTED_EDGE('',*,*,#13687,.T.); #17612=ORIENTED_EDGE('',*,*,#13688,.T.); #17613=ORIENTED_EDGE('',*,*,#13689,.T.); #17614=ORIENTED_EDGE('',*,*,#13679,.T.); #17615=ORIENTED_EDGE('',*,*,#13686,.T.); #17616=ORIENTED_EDGE('',*,*,#13683,.T.); #17617=ORIENTED_EDGE('',*,*,#13690,.F.); #17618=ORIENTED_EDGE('',*,*,#13691,.T.); #17619=ORIENTED_EDGE('',*,*,#13692,.F.); #17620=ORIENTED_EDGE('',*,*,#13693,.F.); #17621=ORIENTED_EDGE('',*,*,#13694,.F.); #17622=ORIENTED_EDGE('',*,*,#13695,.T.); #17623=ORIENTED_EDGE('',*,*,#13696,.F.); #17624=ORIENTED_EDGE('',*,*,#13697,.F.); #17625=ORIENTED_EDGE('',*,*,#13698,.F.); #17626=ORIENTED_EDGE('',*,*,#13697,.T.); #17627=ORIENTED_EDGE('',*,*,#13699,.F.); #17628=ORIENTED_EDGE('',*,*,#13691,.F.); #17629=ORIENTED_EDGE('',*,*,#13700,.T.); #17630=ORIENTED_EDGE('',*,*,#13701,.T.); #17631=ORIENTED_EDGE('',*,*,#13692,.T.); #17632=ORIENTED_EDGE('',*,*,#13699,.T.); #17633=ORIENTED_EDGE('',*,*,#13696,.T.); #17634=ORIENTED_EDGE('',*,*,#13702,.T.); #17635=ORIENTED_EDGE('',*,*,#13703,.F.); #17636=ORIENTED_EDGE('',*,*,#13704,.T.); #17637=ORIENTED_EDGE('',*,*,#13705,.F.); #17638=ORIENTED_EDGE('',*,*,#13706,.F.); #17639=ORIENTED_EDGE('',*,*,#13707,.F.); #17640=ORIENTED_EDGE('',*,*,#13708,.T.); #17641=ORIENTED_EDGE('',*,*,#13709,.F.); #17642=ORIENTED_EDGE('',*,*,#13710,.F.); #17643=ORIENTED_EDGE('',*,*,#13711,.F.); #17644=ORIENTED_EDGE('',*,*,#13710,.T.); #17645=ORIENTED_EDGE('',*,*,#13712,.F.); #17646=ORIENTED_EDGE('',*,*,#13704,.F.); #17647=ORIENTED_EDGE('',*,*,#13713,.T.); #17648=ORIENTED_EDGE('',*,*,#13714,.T.); #17649=ORIENTED_EDGE('',*,*,#13705,.T.); #17650=ORIENTED_EDGE('',*,*,#13712,.T.); #17651=ORIENTED_EDGE('',*,*,#13709,.T.); #17652=ORIENTED_EDGE('',*,*,#13715,.T.); #17653=ORIENTED_EDGE('',*,*,#13716,.F.); #17654=ORIENTED_EDGE('',*,*,#13717,.T.); #17655=ORIENTED_EDGE('',*,*,#13718,.F.); #17656=ORIENTED_EDGE('',*,*,#13719,.F.); #17657=ORIENTED_EDGE('',*,*,#13720,.F.); #17658=ORIENTED_EDGE('',*,*,#13721,.T.); #17659=ORIENTED_EDGE('',*,*,#13722,.F.); #17660=ORIENTED_EDGE('',*,*,#13723,.F.); #17661=ORIENTED_EDGE('',*,*,#13724,.F.); #17662=ORIENTED_EDGE('',*,*,#13723,.T.); #17663=ORIENTED_EDGE('',*,*,#13725,.F.); #17664=ORIENTED_EDGE('',*,*,#13717,.F.); #17665=ORIENTED_EDGE('',*,*,#13726,.T.); #17666=ORIENTED_EDGE('',*,*,#13727,.T.); #17667=ORIENTED_EDGE('',*,*,#13718,.T.); #17668=ORIENTED_EDGE('',*,*,#13725,.T.); #17669=ORIENTED_EDGE('',*,*,#13722,.T.); #17670=ORIENTED_EDGE('',*,*,#13728,.T.); #17671=ORIENTED_EDGE('',*,*,#13729,.F.); #17672=ORIENTED_EDGE('',*,*,#13730,.T.); #17673=ORIENTED_EDGE('',*,*,#13731,.F.); #17674=ORIENTED_EDGE('',*,*,#13732,.F.); #17675=ORIENTED_EDGE('',*,*,#13733,.F.); #17676=ORIENTED_EDGE('',*,*,#13734,.T.); #17677=ORIENTED_EDGE('',*,*,#13735,.F.); #17678=ORIENTED_EDGE('',*,*,#13736,.F.); #17679=ORIENTED_EDGE('',*,*,#13737,.F.); #17680=ORIENTED_EDGE('',*,*,#13736,.T.); #17681=ORIENTED_EDGE('',*,*,#13738,.F.); #17682=ORIENTED_EDGE('',*,*,#13730,.F.); #17683=ORIENTED_EDGE('',*,*,#13739,.T.); #17684=ORIENTED_EDGE('',*,*,#13740,.T.); #17685=ORIENTED_EDGE('',*,*,#13741,.T.); #17686=ORIENTED_EDGE('',*,*,#13731,.T.); #17687=ORIENTED_EDGE('',*,*,#13738,.T.); #17688=ORIENTED_EDGE('',*,*,#13735,.T.); #17689=ORIENTED_EDGE('',*,*,#13742,.F.); #17690=ORIENTED_EDGE('',*,*,#13743,.T.); #17691=ORIENTED_EDGE('',*,*,#13744,.F.); #17692=ORIENTED_EDGE('',*,*,#13745,.F.); #17693=ORIENTED_EDGE('',*,*,#13746,.F.); #17694=ORIENTED_EDGE('',*,*,#13747,.T.); #17695=ORIENTED_EDGE('',*,*,#13748,.F.); #17696=ORIENTED_EDGE('',*,*,#13749,.F.); #17697=ORIENTED_EDGE('',*,*,#13750,.F.); #17698=ORIENTED_EDGE('',*,*,#13749,.T.); #17699=ORIENTED_EDGE('',*,*,#13751,.F.); #17700=ORIENTED_EDGE('',*,*,#13743,.F.); #17701=ORIENTED_EDGE('',*,*,#13752,.T.); #17702=ORIENTED_EDGE('',*,*,#13753,.T.); #17703=ORIENTED_EDGE('',*,*,#13744,.T.); #17704=ORIENTED_EDGE('',*,*,#13751,.T.); #17705=ORIENTED_EDGE('',*,*,#13748,.T.); #17706=ORIENTED_EDGE('',*,*,#13754,.T.); #17707=ORIENTED_EDGE('',*,*,#13755,.F.); #17708=ORIENTED_EDGE('',*,*,#13756,.T.); #17709=ORIENTED_EDGE('',*,*,#13757,.F.); #17710=ORIENTED_EDGE('',*,*,#13758,.F.); #17711=ORIENTED_EDGE('',*,*,#13759,.F.); #17712=ORIENTED_EDGE('',*,*,#13760,.T.); #17713=ORIENTED_EDGE('',*,*,#13761,.F.); #17714=ORIENTED_EDGE('',*,*,#13762,.F.); #17715=ORIENTED_EDGE('',*,*,#13763,.F.); #17716=ORIENTED_EDGE('',*,*,#13762,.T.); #17717=ORIENTED_EDGE('',*,*,#13764,.F.); #17718=ORIENTED_EDGE('',*,*,#13756,.F.); #17719=ORIENTED_EDGE('',*,*,#13765,.T.); #17720=ORIENTED_EDGE('',*,*,#13766,.T.); #17721=ORIENTED_EDGE('',*,*,#13767,.T.); #17722=ORIENTED_EDGE('',*,*,#13757,.T.); #17723=ORIENTED_EDGE('',*,*,#13764,.T.); #17724=ORIENTED_EDGE('',*,*,#13761,.T.); #17725=ORIENTED_EDGE('',*,*,#13768,.F.); #17726=ORIENTED_EDGE('',*,*,#13769,.T.); #17727=ORIENTED_EDGE('',*,*,#13770,.F.); #17728=ORIENTED_EDGE('',*,*,#13771,.F.); #17729=ORIENTED_EDGE('',*,*,#13772,.F.); #17730=ORIENTED_EDGE('',*,*,#13773,.T.); #17731=ORIENTED_EDGE('',*,*,#13774,.F.); #17732=ORIENTED_EDGE('',*,*,#13775,.F.); #17733=ORIENTED_EDGE('',*,*,#13776,.F.); #17734=ORIENTED_EDGE('',*,*,#13775,.T.); #17735=ORIENTED_EDGE('',*,*,#13777,.F.); #17736=ORIENTED_EDGE('',*,*,#13769,.F.); #17737=ORIENTED_EDGE('',*,*,#13778,.T.); #17738=ORIENTED_EDGE('',*,*,#13779,.T.); #17739=ORIENTED_EDGE('',*,*,#13780,.T.); #17740=ORIENTED_EDGE('',*,*,#13770,.T.); #17741=ORIENTED_EDGE('',*,*,#13777,.T.); #17742=ORIENTED_EDGE('',*,*,#13774,.T.); #17743=ORIENTED_EDGE('',*,*,#13781,.F.); #17744=ORIENTED_EDGE('',*,*,#13782,.T.); #17745=ORIENTED_EDGE('',*,*,#13783,.F.); #17746=ORIENTED_EDGE('',*,*,#13784,.F.); #17747=ORIENTED_EDGE('',*,*,#13785,.F.); #17748=ORIENTED_EDGE('',*,*,#13786,.T.); #17749=ORIENTED_EDGE('',*,*,#13787,.F.); #17750=ORIENTED_EDGE('',*,*,#13788,.F.); #17751=ORIENTED_EDGE('',*,*,#13789,.F.); #17752=ORIENTED_EDGE('',*,*,#13788,.T.); #17753=ORIENTED_EDGE('',*,*,#13790,.F.); #17754=ORIENTED_EDGE('',*,*,#13782,.F.); #17755=ORIENTED_EDGE('',*,*,#13791,.T.); #17756=ORIENTED_EDGE('',*,*,#13792,.T.); #17757=ORIENTED_EDGE('',*,*,#13793,.T.); #17758=ORIENTED_EDGE('',*,*,#13783,.T.); #17759=ORIENTED_EDGE('',*,*,#13790,.T.); #17760=ORIENTED_EDGE('',*,*,#13787,.T.); #17761=ORIENTED_EDGE('',*,*,#13794,.F.); #17762=ORIENTED_EDGE('',*,*,#13795,.T.); #17763=ORIENTED_EDGE('',*,*,#13796,.F.); #17764=ORIENTED_EDGE('',*,*,#13797,.F.); #17765=ORIENTED_EDGE('',*,*,#13798,.F.); #17766=ORIENTED_EDGE('',*,*,#13799,.T.); #17767=ORIENTED_EDGE('',*,*,#13800,.F.); #17768=ORIENTED_EDGE('',*,*,#13801,.F.); #17769=ORIENTED_EDGE('',*,*,#13802,.F.); #17770=ORIENTED_EDGE('',*,*,#13801,.T.); #17771=ORIENTED_EDGE('',*,*,#13803,.F.); #17772=ORIENTED_EDGE('',*,*,#13795,.F.); #17773=ORIENTED_EDGE('',*,*,#13804,.T.); #17774=ORIENTED_EDGE('',*,*,#13805,.T.); #17775=ORIENTED_EDGE('',*,*,#13806,.T.); #17776=ORIENTED_EDGE('',*,*,#13796,.T.); #17777=ORIENTED_EDGE('',*,*,#13803,.T.); #17778=ORIENTED_EDGE('',*,*,#13800,.T.); #17779=ORIENTED_EDGE('',*,*,#13807,.F.); #17780=ORIENTED_EDGE('',*,*,#13808,.T.); #17781=ORIENTED_EDGE('',*,*,#13809,.F.); #17782=ORIENTED_EDGE('',*,*,#13810,.F.); #17783=ORIENTED_EDGE('',*,*,#13811,.F.); #17784=ORIENTED_EDGE('',*,*,#13812,.T.); #17785=ORIENTED_EDGE('',*,*,#13813,.F.); #17786=ORIENTED_EDGE('',*,*,#13814,.F.); #17787=ORIENTED_EDGE('',*,*,#13815,.F.); #17788=ORIENTED_EDGE('',*,*,#13814,.T.); #17789=ORIENTED_EDGE('',*,*,#13816,.F.); #17790=ORIENTED_EDGE('',*,*,#13808,.F.); #17791=ORIENTED_EDGE('',*,*,#13817,.T.); #17792=ORIENTED_EDGE('',*,*,#13818,.T.); #17793=ORIENTED_EDGE('',*,*,#13809,.T.); #17794=ORIENTED_EDGE('',*,*,#13816,.T.); #17795=ORIENTED_EDGE('',*,*,#13813,.T.); #17796=ORIENTED_EDGE('',*,*,#13819,.T.); #17797=ORIENTED_EDGE('',*,*,#13347,.T.); #17798=ORIENTED_EDGE('',*,*,#13339,.T.); #17799=ORIENTED_EDGE('',*,*,#13820,.T.); #17800=ORIENTED_EDGE('',*,*,#13603,.T.); #17801=ORIENTED_EDGE('',*,*,#13607,.T.); #17802=ORIENTED_EDGE('',*,*,#13599,.T.); #17803=ORIENTED_EDGE('',*,*,#13821,.T.); #17804=ORIENTED_EDGE('',*,*,#13759,.T.); #17805=ORIENTED_EDGE('',*,*,#13763,.T.); #17806=ORIENTED_EDGE('',*,*,#13755,.T.); #17807=ORIENTED_EDGE('',*,*,#13822,.T.); #17808=ORIENTED_EDGE('',*,*,#13823,.T.); #17809=ORIENTED_EDGE('',*,*,#13499,.T.); #17810=ORIENTED_EDGE('',*,*,#13503,.T.); #17811=ORIENTED_EDGE('',*,*,#13495,.T.); #17812=ORIENTED_EDGE('',*,*,#13824,.T.); #17813=ORIENTED_EDGE('',*,*,#13330,.T.); #17814=ORIENTED_EDGE('',*,*,#13334,.T.); #17815=ORIENTED_EDGE('',*,*,#13326,.T.); #17816=ORIENTED_EDGE('',*,*,#13825,.T.); #17817=ORIENTED_EDGE('',*,*,#13590,.T.); #17818=ORIENTED_EDGE('',*,*,#13594,.T.); #17819=ORIENTED_EDGE('',*,*,#13586,.T.); #17820=ORIENTED_EDGE('',*,*,#13826,.T.); #17821=ORIENTED_EDGE('',*,*,#13772,.T.); #17822=ORIENTED_EDGE('',*,*,#13776,.T.); #17823=ORIENTED_EDGE('',*,*,#13768,.T.); #17824=ORIENTED_EDGE('',*,*,#13827,.T.); #17825=ORIENTED_EDGE('',*,*,#13512,.T.); #17826=ORIENTED_EDGE('',*,*,#13516,.T.); #17827=ORIENTED_EDGE('',*,*,#13508,.T.); #17828=ORIENTED_EDGE('',*,*,#13828,.T.); #17829=ORIENTED_EDGE('',*,*,#13317,.T.); #17830=ORIENTED_EDGE('',*,*,#13321,.T.); #17831=ORIENTED_EDGE('',*,*,#13313,.T.); #17832=ORIENTED_EDGE('',*,*,#13829,.T.); #17833=ORIENTED_EDGE('',*,*,#13577,.T.); #17834=ORIENTED_EDGE('',*,*,#13581,.T.); #17835=ORIENTED_EDGE('',*,*,#13573,.T.); #17836=ORIENTED_EDGE('',*,*,#13830,.T.); #17837=ORIENTED_EDGE('',*,*,#13785,.T.); #17838=ORIENTED_EDGE('',*,*,#13789,.T.); #17839=ORIENTED_EDGE('',*,*,#13781,.T.); #17840=ORIENTED_EDGE('',*,*,#13831,.T.); #17841=ORIENTED_EDGE('',*,*,#13525,.T.); #17842=ORIENTED_EDGE('',*,*,#13529,.T.); #17843=ORIENTED_EDGE('',*,*,#13521,.T.); #17844=ORIENTED_EDGE('',*,*,#13832,.T.); #17845=ORIENTED_EDGE('',*,*,#13304,.T.); #17846=ORIENTED_EDGE('',*,*,#13308,.T.); #17847=ORIENTED_EDGE('',*,*,#13300,.T.); #17848=ORIENTED_EDGE('',*,*,#13833,.T.); #17849=ORIENTED_EDGE('',*,*,#13564,.T.); #17850=ORIENTED_EDGE('',*,*,#13568,.T.); #17851=ORIENTED_EDGE('',*,*,#13560,.T.); #17852=ORIENTED_EDGE('',*,*,#13834,.T.); #17853=ORIENTED_EDGE('',*,*,#13798,.T.); #17854=ORIENTED_EDGE('',*,*,#13802,.T.); #17855=ORIENTED_EDGE('',*,*,#13794,.T.); #17856=ORIENTED_EDGE('',*,*,#13835,.T.); #17857=ORIENTED_EDGE('',*,*,#13538,.T.); #17858=ORIENTED_EDGE('',*,*,#13542,.T.); #17859=ORIENTED_EDGE('',*,*,#13534,.T.); #17860=ORIENTED_EDGE('',*,*,#13836,.T.); #17861=ORIENTED_EDGE('',*,*,#13291,.T.); #17862=ORIENTED_EDGE('',*,*,#13295,.T.); #17863=ORIENTED_EDGE('',*,*,#13287,.T.); #17864=ORIENTED_EDGE('',*,*,#13837,.T.); #17865=ORIENTED_EDGE('',*,*,#13551,.T.); #17866=ORIENTED_EDGE('',*,*,#13555,.T.); #17867=ORIENTED_EDGE('',*,*,#13547,.T.); #17868=ORIENTED_EDGE('',*,*,#13838,.T.); #17869=ORIENTED_EDGE('',*,*,#13811,.T.); #17870=ORIENTED_EDGE('',*,*,#13815,.T.); #17871=ORIENTED_EDGE('',*,*,#13807,.T.); #17872=ORIENTED_EDGE('',*,*,#13839,.T.); #17873=ORIENTED_EDGE('',*,*,#13840,.T.); #17874=ORIENTED_EDGE('',*,*,#13841,.T.); #17875=ORIENTED_EDGE('',*,*,#13681,.T.); #17876=ORIENTED_EDGE('',*,*,#13685,.T.); #17877=ORIENTED_EDGE('',*,*,#13677,.T.); #17878=ORIENTED_EDGE('',*,*,#13842,.T.); #17879=ORIENTED_EDGE('',*,*,#13421,.T.); #17880=ORIENTED_EDGE('',*,*,#13425,.T.); #17881=ORIENTED_EDGE('',*,*,#13417,.T.); #17882=ORIENTED_EDGE('',*,*,#13843,.T.); #17883=ORIENTED_EDGE('',*,*,#13408,.T.); #17884=ORIENTED_EDGE('',*,*,#13412,.T.); #17885=ORIENTED_EDGE('',*,*,#13404,.T.); #17886=ORIENTED_EDGE('',*,*,#13844,.T.); #17887=ORIENTED_EDGE('',*,*,#13668,.T.); #17888=ORIENTED_EDGE('',*,*,#13672,.T.); #17889=ORIENTED_EDGE('',*,*,#13664,.T.); #17890=ORIENTED_EDGE('',*,*,#13845,.T.); #17891=ORIENTED_EDGE('',*,*,#13694,.T.); #17892=ORIENTED_EDGE('',*,*,#13698,.T.); #17893=ORIENTED_EDGE('',*,*,#13690,.T.); #17894=ORIENTED_EDGE('',*,*,#13846,.T.); #17895=ORIENTED_EDGE('',*,*,#13434,.T.); #17896=ORIENTED_EDGE('',*,*,#13438,.T.); #17897=ORIENTED_EDGE('',*,*,#13430,.T.); #17898=ORIENTED_EDGE('',*,*,#13847,.T.); #17899=ORIENTED_EDGE('',*,*,#13395,.T.); #17900=ORIENTED_EDGE('',*,*,#13399,.T.); #17901=ORIENTED_EDGE('',*,*,#13391,.T.); #17902=ORIENTED_EDGE('',*,*,#13848,.T.); #17903=ORIENTED_EDGE('',*,*,#13655,.T.); #17904=ORIENTED_EDGE('',*,*,#13659,.T.); #17905=ORIENTED_EDGE('',*,*,#13651,.T.); #17906=ORIENTED_EDGE('',*,*,#13849,.T.); #17907=ORIENTED_EDGE('',*,*,#13707,.T.); #17908=ORIENTED_EDGE('',*,*,#13711,.T.); #17909=ORIENTED_EDGE('',*,*,#13703,.T.); #17910=ORIENTED_EDGE('',*,*,#13850,.T.); #17911=ORIENTED_EDGE('',*,*,#13447,.T.); #17912=ORIENTED_EDGE('',*,*,#13451,.T.); #17913=ORIENTED_EDGE('',*,*,#13443,.T.); #17914=ORIENTED_EDGE('',*,*,#13851,.T.); #17915=ORIENTED_EDGE('',*,*,#13382,.T.); #17916=ORIENTED_EDGE('',*,*,#13386,.T.); #17917=ORIENTED_EDGE('',*,*,#13378,.T.); #17918=ORIENTED_EDGE('',*,*,#13852,.T.); #17919=ORIENTED_EDGE('',*,*,#13642,.T.); #17920=ORIENTED_EDGE('',*,*,#13646,.T.); #17921=ORIENTED_EDGE('',*,*,#13638,.T.); #17922=ORIENTED_EDGE('',*,*,#13853,.T.); #17923=ORIENTED_EDGE('',*,*,#13720,.T.); #17924=ORIENTED_EDGE('',*,*,#13724,.T.); #17925=ORIENTED_EDGE('',*,*,#13716,.T.); #17926=ORIENTED_EDGE('',*,*,#13854,.T.); #17927=ORIENTED_EDGE('',*,*,#13460,.T.); #17928=ORIENTED_EDGE('',*,*,#13464,.T.); #17929=ORIENTED_EDGE('',*,*,#13456,.T.); #17930=ORIENTED_EDGE('',*,*,#13855,.T.); #17931=ORIENTED_EDGE('',*,*,#13369,.T.); #17932=ORIENTED_EDGE('',*,*,#13373,.T.); #17933=ORIENTED_EDGE('',*,*,#13365,.T.); #17934=ORIENTED_EDGE('',*,*,#13856,.T.); #17935=ORIENTED_EDGE('',*,*,#13629,.T.); #17936=ORIENTED_EDGE('',*,*,#13633,.T.); #17937=ORIENTED_EDGE('',*,*,#13625,.T.); #17938=ORIENTED_EDGE('',*,*,#13857,.T.); #17939=ORIENTED_EDGE('',*,*,#13858,.T.); #17940=ORIENTED_EDGE('',*,*,#13733,.T.); #17941=ORIENTED_EDGE('',*,*,#13737,.T.); #17942=ORIENTED_EDGE('',*,*,#13729,.T.); #17943=ORIENTED_EDGE('',*,*,#13859,.T.); #17944=ORIENTED_EDGE('',*,*,#13473,.T.); #17945=ORIENTED_EDGE('',*,*,#13477,.T.); #17946=ORIENTED_EDGE('',*,*,#13469,.T.); #17947=ORIENTED_EDGE('',*,*,#13860,.T.); #17948=ORIENTED_EDGE('',*,*,#13356,.T.); #17949=ORIENTED_EDGE('',*,*,#13360,.T.); #17950=ORIENTED_EDGE('',*,*,#13352,.T.); #17951=ORIENTED_EDGE('',*,*,#13861,.T.); #17952=ORIENTED_EDGE('',*,*,#13616,.T.); #17953=ORIENTED_EDGE('',*,*,#13620,.T.); #17954=ORIENTED_EDGE('',*,*,#13612,.T.); #17955=ORIENTED_EDGE('',*,*,#13862,.T.); #17956=ORIENTED_EDGE('',*,*,#13746,.T.); #17957=ORIENTED_EDGE('',*,*,#13750,.T.); #17958=ORIENTED_EDGE('',*,*,#13742,.T.); #17959=ORIENTED_EDGE('',*,*,#13863,.T.); #17960=ORIENTED_EDGE('',*,*,#13486,.T.); #17961=ORIENTED_EDGE('',*,*,#13490,.T.); #17962=ORIENTED_EDGE('',*,*,#13482,.T.); #17963=ORIENTED_EDGE('',*,*,#13864,.T.); #17964=ORIENTED_EDGE('',*,*,#13343,.T.); #17965=ORIENTED_EDGE('',*,*,#13865,.F.); #17966=ORIENTED_EDGE('',*,*,#13866,.T.); #17967=ORIENTED_EDGE('',*,*,#13479,.F.); #17968=ORIENTED_EDGE('',*,*,#13867,.T.); #17969=ORIENTED_EDGE('',*,*,#13868,.F.); #17970=ORIENTED_EDGE('',*,*,#13869,.T.); #17971=ORIENTED_EDGE('',*,*,#13740,.F.); #17972=ORIENTED_EDGE('',*,*,#13870,.T.); #17973=ORIENTED_EDGE('',*,*,#13871,.F.); #17974=ORIENTED_EDGE('',*,*,#13872,.T.); #17975=ORIENTED_EDGE('',*,*,#13362,.F.); #17976=ORIENTED_EDGE('',*,*,#13873,.T.); #17977=ORIENTED_EDGE('',*,*,#13874,.F.); #17978=ORIENTED_EDGE('',*,*,#13875,.T.); #17979=ORIENTED_EDGE('',*,*,#13752,.F.); #17980=ORIENTED_EDGE('',*,*,#13876,.T.); #17981=ORIENTED_EDGE('',*,*,#13877,.F.); #17982=ORIENTED_EDGE('',*,*,#13878,.T.); #17983=ORIENTED_EDGE('',*,*,#13493,.F.); #17984=ORIENTED_EDGE('',*,*,#13879,.T.); #17985=ORIENTED_EDGE('',*,*,#13880,.F.); #17986=ORIENTED_EDGE('',*,*,#13881,.T.); #17987=ORIENTED_EDGE('',*,*,#13623,.F.); #17988=ORIENTED_EDGE('',*,*,#13882,.T.); #17989=ORIENTED_EDGE('',*,*,#13883,.F.); #17990=ORIENTED_EDGE('',*,*,#13884,.T.); #17991=ORIENTED_EDGE('',*,*,#13610,.F.); #17992=ORIENTED_EDGE('',*,*,#13885,.T.); #17993=ORIENTED_EDGE('',*,*,#13886,.F.); #17994=ORIENTED_EDGE('',*,*,#13887,.T.); #17995=ORIENTED_EDGE('',*,*,#13766,.F.); #17996=ORIENTED_EDGE('',*,*,#13888,.T.); #17997=ORIENTED_EDGE('',*,*,#13889,.F.); #17998=ORIENTED_EDGE('',*,*,#13890,.T.); #17999=ORIENTED_EDGE('',*,*,#13350,.F.); #18000=ORIENTED_EDGE('',*,*,#13891,.T.); #18001=ORIENTED_EDGE('',*,*,#13869,.F.); #18002=ORIENTED_EDGE('',*,*,#13892,.T.); #18003=ORIENTED_EDGE('',*,*,#13867,.F.); #18004=ORIENTED_EDGE('',*,*,#13481,.F.); #18005=ORIENTED_EDGE('',*,*,#13474,.F.); #18006=ORIENTED_EDGE('',*,*,#13859,.F.); #18007=ORIENTED_EDGE('',*,*,#13732,.T.); #18008=ORIENTED_EDGE('',*,*,#13741,.F.); #18009=ORIENTED_EDGE('',*,*,#13866,.F.); #18010=ORIENTED_EDGE('',*,*,#13893,.T.); #18011=ORIENTED_EDGE('',*,*,#13873,.F.); #18012=ORIENTED_EDGE('',*,*,#13364,.F.); #18013=ORIENTED_EDGE('',*,*,#13357,.F.); #18014=ORIENTED_EDGE('',*,*,#13860,.F.); #18015=ORIENTED_EDGE('',*,*,#13472,.T.); #18016=ORIENTED_EDGE('',*,*,#13480,.F.); #18017=ORIENTED_EDGE('',*,*,#13872,.F.); #18018=ORIENTED_EDGE('',*,*,#13894,.T.); #18019=ORIENTED_EDGE('',*,*,#13882,.F.); #18020=ORIENTED_EDGE('',*,*,#13622,.F.); #18021=ORIENTED_EDGE('',*,*,#13617,.F.); #18022=ORIENTED_EDGE('',*,*,#13861,.F.); #18023=ORIENTED_EDGE('',*,*,#13355,.T.); #18024=ORIENTED_EDGE('',*,*,#13363,.F.); #18025=ORIENTED_EDGE('',*,*,#13881,.F.); #18026=ORIENTED_EDGE('',*,*,#13895,.T.); #18027=ORIENTED_EDGE('',*,*,#13876,.F.); #18028=ORIENTED_EDGE('',*,*,#13754,.F.); #18029=ORIENTED_EDGE('',*,*,#13747,.F.); #18030=ORIENTED_EDGE('',*,*,#13862,.F.); #18031=ORIENTED_EDGE('',*,*,#13615,.T.); #18032=ORIENTED_EDGE('',*,*,#13624,.F.); #18033=ORIENTED_EDGE('',*,*,#13875,.F.); #18034=ORIENTED_EDGE('',*,*,#13896,.T.); #18035=ORIENTED_EDGE('',*,*,#13879,.F.); #18036=ORIENTED_EDGE('',*,*,#13492,.F.); #18037=ORIENTED_EDGE('',*,*,#13487,.F.); #18038=ORIENTED_EDGE('',*,*,#13863,.F.); #18039=ORIENTED_EDGE('',*,*,#13745,.T.); #18040=ORIENTED_EDGE('',*,*,#13753,.F.); #18041=ORIENTED_EDGE('',*,*,#13878,.F.); #18042=ORIENTED_EDGE('',*,*,#13897,.T.); #18043=ORIENTED_EDGE('',*,*,#13891,.F.); #18044=ORIENTED_EDGE('',*,*,#13349,.F.); #18045=ORIENTED_EDGE('',*,*,#13344,.F.); #18046=ORIENTED_EDGE('',*,*,#13864,.F.); #18047=ORIENTED_EDGE('',*,*,#13485,.T.); #18048=ORIENTED_EDGE('',*,*,#13494,.F.); #18049=ORIENTED_EDGE('',*,*,#13890,.F.); #18050=ORIENTED_EDGE('',*,*,#13898,.T.); #18051=ORIENTED_EDGE('',*,*,#13885,.F.); #18052=ORIENTED_EDGE('',*,*,#13609,.F.); #18053=ORIENTED_EDGE('',*,*,#13604,.F.); #18054=ORIENTED_EDGE('',*,*,#13820,.F.); #18055=ORIENTED_EDGE('',*,*,#13342,.T.); #18056=ORIENTED_EDGE('',*,*,#13351,.F.); #18057=ORIENTED_EDGE('',*,*,#13884,.F.); #18058=ORIENTED_EDGE('',*,*,#13899,.T.); #18059=ORIENTED_EDGE('',*,*,#13888,.F.); #18060=ORIENTED_EDGE('',*,*,#13765,.F.); #18061=ORIENTED_EDGE('',*,*,#13760,.F.); #18062=ORIENTED_EDGE('',*,*,#13821,.F.); #18063=ORIENTED_EDGE('',*,*,#13602,.T.); #18064=ORIENTED_EDGE('',*,*,#13611,.F.); #18065=ORIENTED_EDGE('',*,*,#13887,.F.); #18066=ORIENTED_EDGE('',*,*,#13900,.T.); #18067=ORIENTED_EDGE('',*,*,#13901,.T.); #18068=ORIENTED_EDGE('',*,*,#13822,.F.); #18069=ORIENTED_EDGE('',*,*,#13758,.T.); #18070=ORIENTED_EDGE('',*,*,#13767,.F.); #18071=ORIENTED_EDGE('',*,*,#13892,.F.); #18072=ORIENTED_EDGE('',*,*,#13868,.T.); #18073=ORIENTED_EDGE('',*,*,#13902,.F.); #18074=ORIENTED_EDGE('',*,*,#13903,.T.); #18075=ORIENTED_EDGE('',*,*,#13904,.F.); #18076=ORIENTED_EDGE('',*,*,#13905,.F.); #18077=ORIENTED_EDGE('',*,*,#13906,.F.); #18078=ORIENTED_EDGE('',*,*,#13907,.T.); #18079=ORIENTED_EDGE('',*,*,#13908,.F.); #18080=ORIENTED_EDGE('',*,*,#13909,.F.); #18081=ORIENTED_EDGE('',*,*,#13910,.F.); #18082=ORIENTED_EDGE('',*,*,#13911,.T.); #18083=ORIENTED_EDGE('',*,*,#13912,.F.); #18084=ORIENTED_EDGE('',*,*,#13913,.F.); #18085=ORIENTED_EDGE('',*,*,#13914,.F.); #18086=ORIENTED_EDGE('',*,*,#13915,.T.); #18087=ORIENTED_EDGE('',*,*,#13916,.F.); #18088=ORIENTED_EDGE('',*,*,#13917,.F.); #18089=ORIENTED_EDGE('',*,*,#13918,.F.); #18090=ORIENTED_EDGE('',*,*,#13919,.T.); #18091=ORIENTED_EDGE('',*,*,#13920,.F.); #18092=ORIENTED_EDGE('',*,*,#13921,.F.); #18093=ORIENTED_EDGE('',*,*,#13922,.F.); #18094=ORIENTED_EDGE('',*,*,#13923,.T.); #18095=ORIENTED_EDGE('',*,*,#13924,.F.); #18096=ORIENTED_EDGE('',*,*,#13925,.F.); #18097=ORIENTED_EDGE('',*,*,#13926,.F.); #18098=ORIENTED_EDGE('',*,*,#13927,.T.); #18099=ORIENTED_EDGE('',*,*,#13928,.F.); #18100=ORIENTED_EDGE('',*,*,#13929,.F.); #18101=ORIENTED_EDGE('',*,*,#13930,.F.); #18102=ORIENTED_EDGE('',*,*,#13931,.T.); #18103=ORIENTED_EDGE('',*,*,#13932,.F.); #18104=ORIENTED_EDGE('',*,*,#13933,.F.); #18105=ORIENTED_EDGE('',*,*,#13934,.F.); #18106=ORIENTED_EDGE('',*,*,#13935,.T.); #18107=ORIENTED_EDGE('',*,*,#13936,.F.); #18108=ORIENTED_EDGE('',*,*,#13937,.F.); #18109=ORIENTED_EDGE('',*,*,#13938,.F.); #18110=ORIENTED_EDGE('',*,*,#13939,.T.); #18111=ORIENTED_EDGE('',*,*,#13940,.F.); #18112=ORIENTED_EDGE('',*,*,#13941,.F.); #18113=ORIENTED_EDGE('',*,*,#13942,.F.); #18114=ORIENTED_EDGE('',*,*,#13943,.T.); #18115=ORIENTED_EDGE('',*,*,#13944,.F.); #18116=ORIENTED_EDGE('',*,*,#13945,.F.); #18117=ORIENTED_EDGE('',*,*,#13946,.F.); #18118=ORIENTED_EDGE('',*,*,#13947,.T.); #18119=ORIENTED_EDGE('',*,*,#13948,.F.); #18120=ORIENTED_EDGE('',*,*,#13949,.F.); #18121=ORIENTED_EDGE('',*,*,#13950,.F.); #18122=ORIENTED_EDGE('',*,*,#13951,.T.); #18123=ORIENTED_EDGE('',*,*,#13952,.F.); #18124=ORIENTED_EDGE('',*,*,#13953,.F.); #18125=ORIENTED_EDGE('',*,*,#13954,.F.); #18126=ORIENTED_EDGE('',*,*,#13955,.T.); #18127=ORIENTED_EDGE('',*,*,#13956,.F.); #18128=ORIENTED_EDGE('',*,*,#13957,.F.); #18129=ORIENTED_EDGE('',*,*,#13958,.F.); #18130=ORIENTED_EDGE('',*,*,#13959,.T.); #18131=ORIENTED_EDGE('',*,*,#13960,.F.); #18132=ORIENTED_EDGE('',*,*,#13961,.F.); #18133=ORIENTED_EDGE('',*,*,#13962,.F.); #18134=ORIENTED_EDGE('',*,*,#13963,.T.); #18135=ORIENTED_EDGE('',*,*,#13964,.F.); #18136=ORIENTED_EDGE('',*,*,#13965,.F.); #18137=ORIENTED_EDGE('',*,*,#13966,.F.); #18138=ORIENTED_EDGE('',*,*,#13967,.T.); #18139=ORIENTED_EDGE('',*,*,#13968,.T.); #18140=ORIENTED_EDGE('',*,*,#13969,.T.); #18141=ORIENTED_EDGE('',*,*,#13970,.F.); #18142=ORIENTED_EDGE('',*,*,#13971,.F.); #18143=ORIENTED_EDGE('',*,*,#13972,.F.); #18144=ORIENTED_EDGE('',*,*,#13973,.T.); #18145=ORIENTED_EDGE('',*,*,#13974,.F.); #18146=ORIENTED_EDGE('',*,*,#13975,.F.); #18147=ORIENTED_EDGE('',*,*,#13976,.F.); #18148=ORIENTED_EDGE('',*,*,#13977,.T.); #18149=ORIENTED_EDGE('',*,*,#13978,.F.); #18150=ORIENTED_EDGE('',*,*,#13979,.F.); #18151=ORIENTED_EDGE('',*,*,#13980,.F.); #18152=ORIENTED_EDGE('',*,*,#13981,.T.); #18153=ORIENTED_EDGE('',*,*,#13982,.F.); #18154=ORIENTED_EDGE('',*,*,#13983,.F.); #18155=ORIENTED_EDGE('',*,*,#13984,.F.); #18156=ORIENTED_EDGE('',*,*,#13985,.T.); #18157=ORIENTED_EDGE('',*,*,#13986,.F.); #18158=ORIENTED_EDGE('',*,*,#13987,.F.); #18159=ORIENTED_EDGE('',*,*,#13988,.F.); #18160=ORIENTED_EDGE('',*,*,#13989,.T.); #18161=ORIENTED_EDGE('',*,*,#13990,.F.); #18162=ORIENTED_EDGE('',*,*,#13991,.F.); #18163=ORIENTED_EDGE('',*,*,#13992,.F.); #18164=ORIENTED_EDGE('',*,*,#13993,.T.); #18165=ORIENTED_EDGE('',*,*,#13994,.F.); #18166=ORIENTED_EDGE('',*,*,#13995,.F.); #18167=ORIENTED_EDGE('',*,*,#13996,.F.); #18168=ORIENTED_EDGE('',*,*,#13997,.T.); #18169=ORIENTED_EDGE('',*,*,#13998,.F.); #18170=ORIENTED_EDGE('',*,*,#13999,.F.); #18171=ORIENTED_EDGE('',*,*,#14000,.F.); #18172=ORIENTED_EDGE('',*,*,#14001,.T.); #18173=ORIENTED_EDGE('',*,*,#14002,.F.); #18174=ORIENTED_EDGE('',*,*,#14003,.F.); #18175=ORIENTED_EDGE('',*,*,#14004,.F.); #18176=ORIENTED_EDGE('',*,*,#14005,.T.); #18177=ORIENTED_EDGE('',*,*,#14006,.F.); #18178=ORIENTED_EDGE('',*,*,#14007,.F.); #18179=ORIENTED_EDGE('',*,*,#14008,.F.); #18180=ORIENTED_EDGE('',*,*,#14009,.T.); #18181=ORIENTED_EDGE('',*,*,#14010,.F.); #18182=ORIENTED_EDGE('',*,*,#14011,.F.); #18183=ORIENTED_EDGE('',*,*,#14012,.F.); #18184=ORIENTED_EDGE('',*,*,#14013,.T.); #18185=ORIENTED_EDGE('',*,*,#14014,.F.); #18186=ORIENTED_EDGE('',*,*,#14015,.F.); #18187=ORIENTED_EDGE('',*,*,#14016,.F.); #18188=ORIENTED_EDGE('',*,*,#14017,.T.); #18189=ORIENTED_EDGE('',*,*,#14018,.F.); #18190=ORIENTED_EDGE('',*,*,#14019,.F.); #18191=ORIENTED_EDGE('',*,*,#14020,.F.); #18192=ORIENTED_EDGE('',*,*,#14021,.T.); #18193=ORIENTED_EDGE('',*,*,#14022,.F.); #18194=ORIENTED_EDGE('',*,*,#14023,.F.); #18195=ORIENTED_EDGE('',*,*,#14024,.F.); #18196=ORIENTED_EDGE('',*,*,#14025,.T.); #18197=ORIENTED_EDGE('',*,*,#14026,.F.); #18198=ORIENTED_EDGE('',*,*,#14027,.F.); #18199=ORIENTED_EDGE('',*,*,#14028,.F.); #18200=ORIENTED_EDGE('',*,*,#14029,.T.); #18201=ORIENTED_EDGE('',*,*,#14030,.F.); #18202=ORIENTED_EDGE('',*,*,#14031,.F.); #18203=ORIENTED_EDGE('',*,*,#14032,.F.); #18204=ORIENTED_EDGE('',*,*,#14033,.T.); #18205=ORIENTED_EDGE('',*,*,#13900,.F.); #18206=ORIENTED_EDGE('',*,*,#13886,.T.); #18207=ORIENTED_EDGE('',*,*,#13899,.F.); #18208=ORIENTED_EDGE('',*,*,#13883,.T.); #18209=ORIENTED_EDGE('',*,*,#13898,.F.); #18210=ORIENTED_EDGE('',*,*,#13889,.T.); #18211=ORIENTED_EDGE('',*,*,#13897,.F.); #18212=ORIENTED_EDGE('',*,*,#13877,.T.); #18213=ORIENTED_EDGE('',*,*,#13896,.F.); #18214=ORIENTED_EDGE('',*,*,#13874,.T.); #18215=ORIENTED_EDGE('',*,*,#13895,.F.); #18216=ORIENTED_EDGE('',*,*,#13880,.T.); #18217=ORIENTED_EDGE('',*,*,#13894,.F.); #18218=ORIENTED_EDGE('',*,*,#13871,.T.); #18219=ORIENTED_EDGE('',*,*,#13893,.F.); #18220=ORIENTED_EDGE('',*,*,#13865,.T.); #18221=ORIENTED_EDGE('',*,*,#14034,.F.); #18222=ORIENTED_EDGE('',*,*,#14035,.F.); #18223=ORIENTED_EDGE('',*,*,#14036,.F.); #18224=ORIENTED_EDGE('',*,*,#14037,.F.); #18225=ORIENTED_EDGE('',*,*,#14038,.T.); #18226=ORIENTED_EDGE('',*,*,#14039,.F.); #18227=ORIENTED_EDGE('',*,*,#14040,.T.); #18228=ORIENTED_EDGE('',*,*,#13993,.F.); #18229=ORIENTED_EDGE('',*,*,#14041,.F.); #18230=ORIENTED_EDGE('',*,*,#14042,.F.); #18231=ORIENTED_EDGE('',*,*,#14043,.T.); #18232=ORIENTED_EDGE('',*,*,#13570,.F.); #18233=ORIENTED_EDGE('',*,*,#13565,.F.); #18234=ORIENTED_EDGE('',*,*,#13833,.F.); #18235=ORIENTED_EDGE('',*,*,#13303,.T.); #18236=ORIENTED_EDGE('',*,*,#13312,.F.); #18237=ORIENTED_EDGE('',*,*,#14044,.T.); #18238=ORIENTED_EDGE('',*,*,#14045,.F.); #18239=ORIENTED_EDGE('',*,*,#14046,.T.); #18240=ORIENTED_EDGE('',*,*,#13989,.F.); #18241=ORIENTED_EDGE('',*,*,#14047,.F.); #18242=ORIENTED_EDGE('',*,*,#14048,.F.); #18243=ORIENTED_EDGE('',*,*,#14049,.T.); #18244=ORIENTED_EDGE('',*,*,#13804,.F.); #18245=ORIENTED_EDGE('',*,*,#13799,.F.); #18246=ORIENTED_EDGE('',*,*,#13834,.F.); #18247=ORIENTED_EDGE('',*,*,#13563,.T.); #18248=ORIENTED_EDGE('',*,*,#13572,.F.); #18249=ORIENTED_EDGE('',*,*,#14050,.T.); #18250=ORIENTED_EDGE('',*,*,#14051,.F.); #18251=ORIENTED_EDGE('',*,*,#14052,.T.); #18252=ORIENTED_EDGE('',*,*,#14001,.F.); #18253=ORIENTED_EDGE('',*,*,#14053,.F.); #18254=ORIENTED_EDGE('',*,*,#14054,.F.); #18255=ORIENTED_EDGE('',*,*,#14055,.T.); #18256=ORIENTED_EDGE('',*,*,#13531,.F.); #18257=ORIENTED_EDGE('',*,*,#13526,.F.); #18258=ORIENTED_EDGE('',*,*,#13831,.F.); #18259=ORIENTED_EDGE('',*,*,#13784,.T.); #18260=ORIENTED_EDGE('',*,*,#13793,.F.); #18261=ORIENTED_EDGE('',*,*,#14056,.F.); #18262=ORIENTED_EDGE('',*,*,#14057,.T.); #18263=ORIENTED_EDGE('',*,*,#13310,.F.); #18264=ORIENTED_EDGE('',*,*,#13305,.F.); #18265=ORIENTED_EDGE('',*,*,#13832,.F.); #18266=ORIENTED_EDGE('',*,*,#13524,.T.); #18267=ORIENTED_EDGE('',*,*,#13533,.F.); #18268=ORIENTED_EDGE('',*,*,#14058,.T.); #18269=ORIENTED_EDGE('',*,*,#14059,.F.); #18270=ORIENTED_EDGE('',*,*,#14060,.T.); #18271=ORIENTED_EDGE('',*,*,#13997,.F.); #18272=ORIENTED_EDGE('',*,*,#14061,.F.); #18273=ORIENTED_EDGE('',*,*,#14062,.T.); #18274=ORIENTED_EDGE('',*,*,#14063,.F.); #18275=ORIENTED_EDGE('',*,*,#14064,.T.); #18276=ORIENTED_EDGE('',*,*,#13977,.F.); #18277=ORIENTED_EDGE('',*,*,#14065,.F.); #18278=ORIENTED_EDGE('',*,*,#14066,.F.); #18279=ORIENTED_EDGE('',*,*,#14067,.T.); #18280=ORIENTED_EDGE('',*,*,#13557,.F.); #18281=ORIENTED_EDGE('',*,*,#13552,.F.); #18282=ORIENTED_EDGE('',*,*,#13837,.F.); #18283=ORIENTED_EDGE('',*,*,#13290,.T.); #18284=ORIENTED_EDGE('',*,*,#13299,.F.); #18285=ORIENTED_EDGE('',*,*,#14068,.T.); #18286=ORIENTED_EDGE('',*,*,#14069,.F.); #18287=ORIENTED_EDGE('',*,*,#14070,.T.); #18288=ORIENTED_EDGE('',*,*,#13973,.F.); #18289=ORIENTED_EDGE('',*,*,#14071,.F.); #18290=ORIENTED_EDGE('',*,*,#14072,.F.); #18291=ORIENTED_EDGE('',*,*,#14073,.T.); #18292=ORIENTED_EDGE('',*,*,#13819,.F.); #18293=ORIENTED_EDGE('',*,*,#13812,.F.); #18294=ORIENTED_EDGE('',*,*,#13838,.F.); #18295=ORIENTED_EDGE('',*,*,#13550,.T.); #18296=ORIENTED_EDGE('',*,*,#13559,.F.); #18297=ORIENTED_EDGE('',*,*,#14074,.T.); #18298=ORIENTED_EDGE('',*,*,#14075,.F.); #18299=ORIENTED_EDGE('',*,*,#14076,.T.); #18300=ORIENTED_EDGE('',*,*,#13985,.F.); #18301=ORIENTED_EDGE('',*,*,#14077,.F.); #18302=ORIENTED_EDGE('',*,*,#14078,.F.); #18303=ORIENTED_EDGE('',*,*,#14079,.T.); #18304=ORIENTED_EDGE('',*,*,#13544,.F.); #18305=ORIENTED_EDGE('',*,*,#13539,.F.); #18306=ORIENTED_EDGE('',*,*,#13835,.F.); #18307=ORIENTED_EDGE('',*,*,#13797,.T.); #18308=ORIENTED_EDGE('',*,*,#13806,.F.); #18309=ORIENTED_EDGE('',*,*,#14080,.F.); #18310=ORIENTED_EDGE('',*,*,#14081,.T.); #18311=ORIENTED_EDGE('',*,*,#13297,.F.); #18312=ORIENTED_EDGE('',*,*,#13292,.F.); #18313=ORIENTED_EDGE('',*,*,#13836,.F.); #18314=ORIENTED_EDGE('',*,*,#13537,.T.); #18315=ORIENTED_EDGE('',*,*,#13546,.F.); #18316=ORIENTED_EDGE('',*,*,#14082,.T.); #18317=ORIENTED_EDGE('',*,*,#14083,.F.); #18318=ORIENTED_EDGE('',*,*,#14084,.T.); #18319=ORIENTED_EDGE('',*,*,#13981,.F.); #18320=ORIENTED_EDGE('',*,*,#14085,.F.); #18321=ORIENTED_EDGE('',*,*,#14086,.T.); #18322=ORIENTED_EDGE('',*,*,#14087,.F.); #18323=ORIENTED_EDGE('',*,*,#14088,.T.); #18324=ORIENTED_EDGE('',*,*,#14025,.F.); #18325=ORIENTED_EDGE('',*,*,#14089,.F.); #18326=ORIENTED_EDGE('',*,*,#14090,.F.); #18327=ORIENTED_EDGE('',*,*,#14091,.T.); #18328=ORIENTED_EDGE('',*,*,#13596,.F.); #18329=ORIENTED_EDGE('',*,*,#13591,.F.); #18330=ORIENTED_EDGE('',*,*,#13825,.F.); #18331=ORIENTED_EDGE('',*,*,#13329,.T.); #18332=ORIENTED_EDGE('',*,*,#13338,.F.); #18333=ORIENTED_EDGE('',*,*,#14092,.T.); #18334=ORIENTED_EDGE('',*,*,#14093,.F.); #18335=ORIENTED_EDGE('',*,*,#14094,.T.); #18336=ORIENTED_EDGE('',*,*,#14021,.F.); #18337=ORIENTED_EDGE('',*,*,#14095,.F.); #18338=ORIENTED_EDGE('',*,*,#14096,.F.); #18339=ORIENTED_EDGE('',*,*,#14097,.T.); #18340=ORIENTED_EDGE('',*,*,#13778,.F.); #18341=ORIENTED_EDGE('',*,*,#13773,.F.); #18342=ORIENTED_EDGE('',*,*,#13826,.F.); #18343=ORIENTED_EDGE('',*,*,#13589,.T.); #18344=ORIENTED_EDGE('',*,*,#13598,.F.); #18345=ORIENTED_EDGE('',*,*,#14098,.F.); #18346=ORIENTED_EDGE('',*,*,#14099,.T.); #18347=ORIENTED_EDGE('',*,*,#13336,.F.); #18348=ORIENTED_EDGE('',*,*,#13331,.F.); #18349=ORIENTED_EDGE('',*,*,#13824,.F.); #18350=ORIENTED_EDGE('',*,*,#13498,.T.); #18351=ORIENTED_EDGE('',*,*,#13507,.F.); #18352=ORIENTED_EDGE('',*,*,#14100,.T.); #18353=ORIENTED_EDGE('',*,*,#14101,.F.); #18354=ORIENTED_EDGE('',*,*,#14102,.T.); #18355=ORIENTED_EDGE('',*,*,#14029,.F.); #18356=ORIENTED_EDGE('',*,*,#14103,.F.); #18357=ORIENTED_EDGE('',*,*,#14104,.T.); #18358=ORIENTED_EDGE('',*,*,#14105,.F.); #18359=ORIENTED_EDGE('',*,*,#14106,.T.); #18360=ORIENTED_EDGE('',*,*,#14009,.F.); #18361=ORIENTED_EDGE('',*,*,#14107,.F.); #18362=ORIENTED_EDGE('',*,*,#14108,.F.); #18363=ORIENTED_EDGE('',*,*,#14109,.T.); #18364=ORIENTED_EDGE('',*,*,#13583,.F.); #18365=ORIENTED_EDGE('',*,*,#13578,.F.); #18366=ORIENTED_EDGE('',*,*,#13829,.F.); #18367=ORIENTED_EDGE('',*,*,#13316,.T.); #18368=ORIENTED_EDGE('',*,*,#13325,.F.); #18369=ORIENTED_EDGE('',*,*,#14110,.F.); #18370=ORIENTED_EDGE('',*,*,#14111,.T.); #18371=ORIENTED_EDGE('',*,*,#13791,.F.); #18372=ORIENTED_EDGE('',*,*,#13786,.F.); #18373=ORIENTED_EDGE('',*,*,#13830,.F.); #18374=ORIENTED_EDGE('',*,*,#13576,.T.); #18375=ORIENTED_EDGE('',*,*,#13585,.F.); #18376=ORIENTED_EDGE('',*,*,#14112,.T.); #18377=ORIENTED_EDGE('',*,*,#14113,.F.); #18378=ORIENTED_EDGE('',*,*,#14114,.T.); #18379=ORIENTED_EDGE('',*,*,#14005,.F.); #18380=ORIENTED_EDGE('',*,*,#14115,.F.); #18381=ORIENTED_EDGE('',*,*,#14116,.T.); #18382=ORIENTED_EDGE('',*,*,#14117,.F.); #18383=ORIENTED_EDGE('',*,*,#14118,.T.); #18384=ORIENTED_EDGE('',*,*,#14017,.F.); #18385=ORIENTED_EDGE('',*,*,#14119,.F.); #18386=ORIENTED_EDGE('',*,*,#14120,.F.); #18387=ORIENTED_EDGE('',*,*,#14121,.T.); #18388=ORIENTED_EDGE('',*,*,#13518,.F.); #18389=ORIENTED_EDGE('',*,*,#13513,.F.); #18390=ORIENTED_EDGE('',*,*,#13827,.F.); #18391=ORIENTED_EDGE('',*,*,#13771,.T.); #18392=ORIENTED_EDGE('',*,*,#13780,.F.); #18393=ORIENTED_EDGE('',*,*,#14122,.F.); #18394=ORIENTED_EDGE('',*,*,#14123,.T.); #18395=ORIENTED_EDGE('',*,*,#13323,.F.); #18396=ORIENTED_EDGE('',*,*,#13318,.F.); #18397=ORIENTED_EDGE('',*,*,#13828,.F.); #18398=ORIENTED_EDGE('',*,*,#13511,.T.); #18399=ORIENTED_EDGE('',*,*,#13520,.F.); #18400=ORIENTED_EDGE('',*,*,#14124,.T.); #18401=ORIENTED_EDGE('',*,*,#14125,.F.); #18402=ORIENTED_EDGE('',*,*,#14126,.T.); #18403=ORIENTED_EDGE('',*,*,#14013,.F.); #18404=ORIENTED_EDGE('',*,*,#14127,.F.); #18405=ORIENTED_EDGE('',*,*,#14128,.T.); #18406=ORIENTED_EDGE('',*,*,#14129,.F.); #18407=ORIENTED_EDGE('',*,*,#14130,.T.); #18408=ORIENTED_EDGE('',*,*,#13969,.F.); #18409=ORIENTED_EDGE('',*,*,#14131,.T.); #18410=ORIENTED_EDGE('',*,*,#13839,.F.); #18411=ORIENTED_EDGE('',*,*,#13810,.T.); #18412=ORIENTED_EDGE('',*,*,#13818,.F.); #18413=ORIENTED_EDGE('',*,*,#14132,.T.); #18414=ORIENTED_EDGE('',*,*,#14133,.F.); #18415=ORIENTED_EDGE('',*,*,#14134,.T.); #18416=ORIENTED_EDGE('',*,*,#13943,.F.); #18417=ORIENTED_EDGE('',*,*,#14135,.F.); #18418=ORIENTED_EDGE('',*,*,#14136,.F.); #18419=ORIENTED_EDGE('',*,*,#14137,.T.); #18420=ORIENTED_EDGE('',*,*,#13403,.F.); #18421=ORIENTED_EDGE('',*,*,#13396,.F.); #18422=ORIENTED_EDGE('',*,*,#13847,.F.); #18423=ORIENTED_EDGE('',*,*,#13433,.T.); #18424=ORIENTED_EDGE('',*,*,#13441,.F.); #18425=ORIENTED_EDGE('',*,*,#14138,.F.); #18426=ORIENTED_EDGE('',*,*,#14139,.T.); #18427=ORIENTED_EDGE('',*,*,#13442,.F.); #18428=ORIENTED_EDGE('',*,*,#13435,.F.); #18429=ORIENTED_EDGE('',*,*,#13846,.F.); #18430=ORIENTED_EDGE('',*,*,#13693,.T.); #18431=ORIENTED_EDGE('',*,*,#13701,.F.); #18432=ORIENTED_EDGE('',*,*,#14140,.T.); #18433=ORIENTED_EDGE('',*,*,#14141,.F.); #18434=ORIENTED_EDGE('',*,*,#14142,.T.); #18435=ORIENTED_EDGE('',*,*,#13947,.F.); #18436=ORIENTED_EDGE('',*,*,#14143,.F.); #18437=ORIENTED_EDGE('',*,*,#14144,.T.); #18438=ORIENTED_EDGE('',*,*,#14145,.F.); #18439=ORIENTED_EDGE('',*,*,#14146,.T.); #18440=ORIENTED_EDGE('',*,*,#13935,.F.); #18441=ORIENTED_EDGE('',*,*,#14147,.F.); #18442=ORIENTED_EDGE('',*,*,#14148,.F.); #18443=ORIENTED_EDGE('',*,*,#14149,.T.); #18444=ORIENTED_EDGE('',*,*,#13715,.F.); #18445=ORIENTED_EDGE('',*,*,#13708,.F.); #18446=ORIENTED_EDGE('',*,*,#13849,.F.); #18447=ORIENTED_EDGE('',*,*,#13654,.T.); #18448=ORIENTED_EDGE('',*,*,#13662,.F.); #18449=ORIENTED_EDGE('',*,*,#14150,.F.); #18450=ORIENTED_EDGE('',*,*,#14151,.T.); #18451=ORIENTED_EDGE('',*,*,#13663,.F.); #18452=ORIENTED_EDGE('',*,*,#13656,.F.); #18453=ORIENTED_EDGE('',*,*,#13848,.F.); #18454=ORIENTED_EDGE('',*,*,#13394,.T.); #18455=ORIENTED_EDGE('',*,*,#13402,.F.); #18456=ORIENTED_EDGE('',*,*,#14152,.T.); #18457=ORIENTED_EDGE('',*,*,#14153,.F.); #18458=ORIENTED_EDGE('',*,*,#14154,.T.); #18459=ORIENTED_EDGE('',*,*,#13939,.F.); #18460=ORIENTED_EDGE('',*,*,#14155,.F.); #18461=ORIENTED_EDGE('',*,*,#14156,.T.); #18462=ORIENTED_EDGE('',*,*,#14157,.F.); #18463=ORIENTED_EDGE('',*,*,#14158,.T.); #18464=ORIENTED_EDGE('',*,*,#13959,.F.); #18465=ORIENTED_EDGE('',*,*,#14159,.F.); #18466=ORIENTED_EDGE('',*,*,#14160,.F.); #18467=ORIENTED_EDGE('',*,*,#14161,.T.); #18468=ORIENTED_EDGE('',*,*,#13416,.F.); #18469=ORIENTED_EDGE('',*,*,#13409,.F.); #18470=ORIENTED_EDGE('',*,*,#13843,.F.); #18471=ORIENTED_EDGE('',*,*,#13420,.T.); #18472=ORIENTED_EDGE('',*,*,#13428,.F.); #18473=ORIENTED_EDGE('',*,*,#14162,.F.); #18474=ORIENTED_EDGE('',*,*,#14163,.T.); #18475=ORIENTED_EDGE('',*,*,#13429,.F.); #18476=ORIENTED_EDGE('',*,*,#13422,.F.); #18477=ORIENTED_EDGE('',*,*,#13842,.F.); #18478=ORIENTED_EDGE('',*,*,#13680,.T.); #18479=ORIENTED_EDGE('',*,*,#13689,.F.); #18480=ORIENTED_EDGE('',*,*,#14164,.T.); #18481=ORIENTED_EDGE('',*,*,#14165,.F.); #18482=ORIENTED_EDGE('',*,*,#14166,.T.); #18483=ORIENTED_EDGE('',*,*,#13963,.F.); #18484=ORIENTED_EDGE('',*,*,#14167,.F.); #18485=ORIENTED_EDGE('',*,*,#14168,.F.); #18486=ORIENTED_EDGE('',*,*,#14169,.T.); #18487=ORIENTED_EDGE('',*,*,#13702,.F.); #18488=ORIENTED_EDGE('',*,*,#13695,.F.); #18489=ORIENTED_EDGE('',*,*,#13845,.F.); #18490=ORIENTED_EDGE('',*,*,#13667,.T.); #18491=ORIENTED_EDGE('',*,*,#13675,.F.); #18492=ORIENTED_EDGE('',*,*,#14170,.T.); #18493=ORIENTED_EDGE('',*,*,#14171,.F.); #18494=ORIENTED_EDGE('',*,*,#14172,.T.); #18495=ORIENTED_EDGE('',*,*,#13951,.F.); #18496=ORIENTED_EDGE('',*,*,#14173,.F.); #18497=ORIENTED_EDGE('',*,*,#14174,.F.); #18498=ORIENTED_EDGE('',*,*,#14175,.T.); #18499=ORIENTED_EDGE('',*,*,#13676,.F.); #18500=ORIENTED_EDGE('',*,*,#13669,.F.); #18501=ORIENTED_EDGE('',*,*,#13844,.F.); #18502=ORIENTED_EDGE('',*,*,#13407,.T.); #18503=ORIENTED_EDGE('',*,*,#13415,.F.); #18504=ORIENTED_EDGE('',*,*,#14176,.T.); #18505=ORIENTED_EDGE('',*,*,#14177,.F.); #18506=ORIENTED_EDGE('',*,*,#14178,.T.); #18507=ORIENTED_EDGE('',*,*,#13955,.F.); #18508=ORIENTED_EDGE('',*,*,#14179,.F.); #18509=ORIENTED_EDGE('',*,*,#14180,.T.); #18510=ORIENTED_EDGE('',*,*,#14181,.F.); #18511=ORIENTED_EDGE('',*,*,#14182,.T.); #18512=ORIENTED_EDGE('',*,*,#13911,.F.); #18513=ORIENTED_EDGE('',*,*,#14183,.F.); #18514=ORIENTED_EDGE('',*,*,#14184,.F.); #18515=ORIENTED_EDGE('',*,*,#14185,.T.); #18516=ORIENTED_EDGE('',*,*,#13377,.F.); #18517=ORIENTED_EDGE('',*,*,#13370,.F.); #18518=ORIENTED_EDGE('',*,*,#13855,.F.); #18519=ORIENTED_EDGE('',*,*,#13459,.T.); #18520=ORIENTED_EDGE('',*,*,#13467,.F.); #18521=ORIENTED_EDGE('',*,*,#14186,.F.); #18522=ORIENTED_EDGE('',*,*,#14187,.T.); #18523=ORIENTED_EDGE('',*,*,#13468,.F.); #18524=ORIENTED_EDGE('',*,*,#13461,.F.); #18525=ORIENTED_EDGE('',*,*,#13854,.F.); #18526=ORIENTED_EDGE('',*,*,#13719,.T.); #18527=ORIENTED_EDGE('',*,*,#13727,.F.); #18528=ORIENTED_EDGE('',*,*,#14188,.T.); #18529=ORIENTED_EDGE('',*,*,#14189,.F.); #18530=ORIENTED_EDGE('',*,*,#14190,.T.); #18531=ORIENTED_EDGE('',*,*,#13915,.F.); #18532=ORIENTED_EDGE('',*,*,#14191,.F.); #18533=ORIENTED_EDGE('',*,*,#14192,.T.); #18534=ORIENTED_EDGE('',*,*,#14193,.F.); #18535=ORIENTED_EDGE('',*,*,#14194,.T.); #18536=ORIENTED_EDGE('',*,*,#13903,.F.); #18537=ORIENTED_EDGE('',*,*,#14195,.T.); #18538=ORIENTED_EDGE('',*,*,#13857,.F.); #18539=ORIENTED_EDGE('',*,*,#13628,.T.); #18540=ORIENTED_EDGE('',*,*,#13636,.F.); #18541=ORIENTED_EDGE('',*,*,#14196,.F.); #18542=ORIENTED_EDGE('',*,*,#14197,.T.); #18543=ORIENTED_EDGE('',*,*,#13637,.F.); #18544=ORIENTED_EDGE('',*,*,#13630,.F.); #18545=ORIENTED_EDGE('',*,*,#13856,.F.); #18546=ORIENTED_EDGE('',*,*,#13368,.T.); #18547=ORIENTED_EDGE('',*,*,#13376,.F.); #18548=ORIENTED_EDGE('',*,*,#14198,.T.); #18549=ORIENTED_EDGE('',*,*,#14199,.F.); #18550=ORIENTED_EDGE('',*,*,#14200,.T.); #18551=ORIENTED_EDGE('',*,*,#13907,.F.); #18552=ORIENTED_EDGE('',*,*,#14201,.F.); #18553=ORIENTED_EDGE('',*,*,#14202,.T.); #18554=ORIENTED_EDGE('',*,*,#14203,.F.); #18555=ORIENTED_EDGE('',*,*,#14204,.T.); #18556=ORIENTED_EDGE('',*,*,#13927,.F.); #18557=ORIENTED_EDGE('',*,*,#14205,.F.); #18558=ORIENTED_EDGE('',*,*,#14206,.F.); #18559=ORIENTED_EDGE('',*,*,#14207,.T.); #18560=ORIENTED_EDGE('',*,*,#13390,.F.); #18561=ORIENTED_EDGE('',*,*,#13383,.F.); #18562=ORIENTED_EDGE('',*,*,#13851,.F.); #18563=ORIENTED_EDGE('',*,*,#13446,.T.); #18564=ORIENTED_EDGE('',*,*,#13454,.F.); #18565=ORIENTED_EDGE('',*,*,#14208,.F.); #18566=ORIENTED_EDGE('',*,*,#14209,.T.); #18567=ORIENTED_EDGE('',*,*,#13455,.F.); #18568=ORIENTED_EDGE('',*,*,#13448,.F.); #18569=ORIENTED_EDGE('',*,*,#13850,.F.); #18570=ORIENTED_EDGE('',*,*,#13706,.T.); #18571=ORIENTED_EDGE('',*,*,#13714,.F.); #18572=ORIENTED_EDGE('',*,*,#14210,.T.); #18573=ORIENTED_EDGE('',*,*,#14211,.F.); #18574=ORIENTED_EDGE('',*,*,#14212,.T.); #18575=ORIENTED_EDGE('',*,*,#13931,.F.); #18576=ORIENTED_EDGE('',*,*,#14213,.F.); #18577=ORIENTED_EDGE('',*,*,#14214,.F.); #18578=ORIENTED_EDGE('',*,*,#14215,.T.); #18579=ORIENTED_EDGE('',*,*,#13728,.F.); #18580=ORIENTED_EDGE('',*,*,#13721,.F.); #18581=ORIENTED_EDGE('',*,*,#13853,.F.); #18582=ORIENTED_EDGE('',*,*,#13641,.T.); #18583=ORIENTED_EDGE('',*,*,#13649,.F.); #18584=ORIENTED_EDGE('',*,*,#14216,.T.); #18585=ORIENTED_EDGE('',*,*,#14217,.F.); #18586=ORIENTED_EDGE('',*,*,#14218,.T.); #18587=ORIENTED_EDGE('',*,*,#13919,.F.); #18588=ORIENTED_EDGE('',*,*,#14219,.F.); #18589=ORIENTED_EDGE('',*,*,#14220,.F.); #18590=ORIENTED_EDGE('',*,*,#14221,.T.); #18591=ORIENTED_EDGE('',*,*,#13650,.F.); #18592=ORIENTED_EDGE('',*,*,#13643,.F.); #18593=ORIENTED_EDGE('',*,*,#13852,.F.); #18594=ORIENTED_EDGE('',*,*,#13381,.T.); #18595=ORIENTED_EDGE('',*,*,#13389,.F.); #18596=ORIENTED_EDGE('',*,*,#14222,.T.); #18597=ORIENTED_EDGE('',*,*,#14223,.F.); #18598=ORIENTED_EDGE('',*,*,#14224,.T.); #18599=ORIENTED_EDGE('',*,*,#13923,.F.); #18600=ORIENTED_EDGE('',*,*,#14225,.F.); #18601=ORIENTED_EDGE('',*,*,#14131,.F.); #18602=ORIENTED_EDGE('',*,*,#13968,.F.); #18603=ORIENTED_EDGE('',*,*,#14226,.T.); #18604=ORIENTED_EDGE('',*,*,#13840,.F.); #18605=ORIENTED_EDGE('',*,*,#14227,.F.); #18606=ORIENTED_EDGE('',*,*,#14228,.T.); #18607=ORIENTED_EDGE('',*,*,#13505,.F.); #18608=ORIENTED_EDGE('',*,*,#13500,.F.); #18609=ORIENTED_EDGE('',*,*,#13823,.F.); #18610=ORIENTED_EDGE('',*,*,#13901,.F.); #18611=ORIENTED_EDGE('',*,*,#14033,.F.); #18612=ORIENTED_EDGE('',*,*,#14229,.F.); #18613=ORIENTED_EDGE('',*,*,#14230,.F.); #18614=ORIENTED_EDGE('',*,*,#14231,.T.); #18615=ORIENTED_EDGE('',*,*,#13687,.F.); #18616=ORIENTED_EDGE('',*,*,#13682,.F.); #18617=ORIENTED_EDGE('',*,*,#13841,.F.); #18618=ORIENTED_EDGE('',*,*,#14226,.F.); #18619=ORIENTED_EDGE('',*,*,#13967,.F.); #18620=ORIENTED_EDGE('',*,*,#14232,.F.); #18621=ORIENTED_EDGE('',*,*,#13970,.T.); #18622=ORIENTED_EDGE('',*,*,#14130,.F.); #18623=ORIENTED_EDGE('',*,*,#14233,.F.); #18624=ORIENTED_EDGE('',*,*,#14234,.T.); #18625=ORIENTED_EDGE('',*,*,#13972,.T.); #18626=ORIENTED_EDGE('',*,*,#14235,.F.); #18627=ORIENTED_EDGE('',*,*,#14236,.F.); #18628=ORIENTED_EDGE('',*,*,#14071,.T.); #18629=ORIENTED_EDGE('',*,*,#13971,.T.); #18630=ORIENTED_EDGE('',*,*,#14234,.F.); #18631=ORIENTED_EDGE('',*,*,#14237,.F.); #18632=ORIENTED_EDGE('',*,*,#14235,.T.); #18633=ORIENTED_EDGE('',*,*,#14129,.T.); #18634=ORIENTED_EDGE('',*,*,#14238,.F.); #18635=ORIENTED_EDGE('',*,*,#14072,.T.); #18636=ORIENTED_EDGE('',*,*,#14236,.T.); #18637=ORIENTED_EDGE('',*,*,#14237,.T.); #18638=ORIENTED_EDGE('',*,*,#14233,.T.); #18639=ORIENTED_EDGE('',*,*,#13966,.T.); #18640=ORIENTED_EDGE('',*,*,#14239,.F.); #18641=ORIENTED_EDGE('',*,*,#14240,.F.); #18642=ORIENTED_EDGE('',*,*,#14232,.T.); #18643=ORIENTED_EDGE('',*,*,#13965,.T.); #18644=ORIENTED_EDGE('',*,*,#14241,.F.); #18645=ORIENTED_EDGE('',*,*,#14242,.F.); #18646=ORIENTED_EDGE('',*,*,#14239,.T.); #18647=ORIENTED_EDGE('',*,*,#13964,.T.); #18648=ORIENTED_EDGE('',*,*,#14166,.F.); #18649=ORIENTED_EDGE('',*,*,#14243,.F.); #18650=ORIENTED_EDGE('',*,*,#14241,.T.); #18651=ORIENTED_EDGE('',*,*,#14244,.F.); #18652=ORIENTED_EDGE('',*,*,#14230,.T.); #18653=ORIENTED_EDGE('',*,*,#14240,.T.); #18654=ORIENTED_EDGE('',*,*,#14242,.T.); #18655=ORIENTED_EDGE('',*,*,#14243,.T.); #18656=ORIENTED_EDGE('',*,*,#14165,.T.); #18657=ORIENTED_EDGE('',*,*,#13976,.T.); #18658=ORIENTED_EDGE('',*,*,#14245,.F.); #18659=ORIENTED_EDGE('',*,*,#14246,.F.); #18660=ORIENTED_EDGE('',*,*,#14065,.T.); #18661=ORIENTED_EDGE('',*,*,#13975,.T.); #18662=ORIENTED_EDGE('',*,*,#14247,.F.); #18663=ORIENTED_EDGE('',*,*,#14248,.F.); #18664=ORIENTED_EDGE('',*,*,#14245,.T.); #18665=ORIENTED_EDGE('',*,*,#13974,.T.); #18666=ORIENTED_EDGE('',*,*,#14070,.F.); #18667=ORIENTED_EDGE('',*,*,#14249,.F.); #18668=ORIENTED_EDGE('',*,*,#14247,.T.); #18669=ORIENTED_EDGE('',*,*,#14250,.F.); #18670=ORIENTED_EDGE('',*,*,#14066,.T.); #18671=ORIENTED_EDGE('',*,*,#14246,.T.); #18672=ORIENTED_EDGE('',*,*,#14248,.T.); #18673=ORIENTED_EDGE('',*,*,#14249,.T.); #18674=ORIENTED_EDGE('',*,*,#14069,.T.); #18675=ORIENTED_EDGE('',*,*,#13962,.T.); #18676=ORIENTED_EDGE('',*,*,#14251,.F.); #18677=ORIENTED_EDGE('',*,*,#14252,.F.); #18678=ORIENTED_EDGE('',*,*,#14167,.T.); #18679=ORIENTED_EDGE('',*,*,#13961,.T.); #18680=ORIENTED_EDGE('',*,*,#14253,.F.); #18681=ORIENTED_EDGE('',*,*,#14254,.F.); #18682=ORIENTED_EDGE('',*,*,#14251,.T.); #18683=ORIENTED_EDGE('',*,*,#13960,.T.); #18684=ORIENTED_EDGE('',*,*,#14158,.F.); #18685=ORIENTED_EDGE('',*,*,#14255,.F.); #18686=ORIENTED_EDGE('',*,*,#14253,.T.); #18687=ORIENTED_EDGE('',*,*,#14157,.T.); #18688=ORIENTED_EDGE('',*,*,#14256,.F.); #18689=ORIENTED_EDGE('',*,*,#14162,.T.); #18690=ORIENTED_EDGE('',*,*,#14252,.T.); #18691=ORIENTED_EDGE('',*,*,#14254,.T.); #18692=ORIENTED_EDGE('',*,*,#14255,.T.); #18693=ORIENTED_EDGE('',*,*,#13980,.T.); #18694=ORIENTED_EDGE('',*,*,#14257,.F.); #18695=ORIENTED_EDGE('',*,*,#14258,.F.); #18696=ORIENTED_EDGE('',*,*,#14085,.T.); #18697=ORIENTED_EDGE('',*,*,#13979,.T.); #18698=ORIENTED_EDGE('',*,*,#14259,.F.); #18699=ORIENTED_EDGE('',*,*,#14260,.F.); #18700=ORIENTED_EDGE('',*,*,#14257,.T.); #18701=ORIENTED_EDGE('',*,*,#13978,.T.); #18702=ORIENTED_EDGE('',*,*,#14064,.F.); #18703=ORIENTED_EDGE('',*,*,#14261,.F.); #18704=ORIENTED_EDGE('',*,*,#14259,.T.); #18705=ORIENTED_EDGE('',*,*,#14262,.F.); #18706=ORIENTED_EDGE('',*,*,#14080,.T.); #18707=ORIENTED_EDGE('',*,*,#14258,.T.); #18708=ORIENTED_EDGE('',*,*,#14260,.T.); #18709=ORIENTED_EDGE('',*,*,#14261,.T.); #18710=ORIENTED_EDGE('',*,*,#14063,.T.); #18711=ORIENTED_EDGE('',*,*,#13958,.T.); #18712=ORIENTED_EDGE('',*,*,#14263,.F.); #18713=ORIENTED_EDGE('',*,*,#14264,.F.); #18714=ORIENTED_EDGE('',*,*,#14159,.T.); #18715=ORIENTED_EDGE('',*,*,#13957,.T.); #18716=ORIENTED_EDGE('',*,*,#14265,.F.); #18717=ORIENTED_EDGE('',*,*,#14266,.F.); #18718=ORIENTED_EDGE('',*,*,#14263,.T.); #18719=ORIENTED_EDGE('',*,*,#13956,.T.); #18720=ORIENTED_EDGE('',*,*,#14178,.F.); #18721=ORIENTED_EDGE('',*,*,#14267,.F.); #18722=ORIENTED_EDGE('',*,*,#14265,.T.); #18723=ORIENTED_EDGE('',*,*,#14177,.T.); #18724=ORIENTED_EDGE('',*,*,#14268,.F.); #18725=ORIENTED_EDGE('',*,*,#14160,.T.); #18726=ORIENTED_EDGE('',*,*,#14264,.T.); #18727=ORIENTED_EDGE('',*,*,#14266,.T.); #18728=ORIENTED_EDGE('',*,*,#14267,.T.); #18729=ORIENTED_EDGE('',*,*,#13984,.T.); #18730=ORIENTED_EDGE('',*,*,#14269,.F.); #18731=ORIENTED_EDGE('',*,*,#14270,.F.); #18732=ORIENTED_EDGE('',*,*,#14077,.T.); #18733=ORIENTED_EDGE('',*,*,#13983,.T.); #18734=ORIENTED_EDGE('',*,*,#14271,.F.); #18735=ORIENTED_EDGE('',*,*,#14272,.F.); #18736=ORIENTED_EDGE('',*,*,#14269,.T.); #18737=ORIENTED_EDGE('',*,*,#13982,.T.); #18738=ORIENTED_EDGE('',*,*,#14084,.F.); #18739=ORIENTED_EDGE('',*,*,#14273,.F.); #18740=ORIENTED_EDGE('',*,*,#14271,.T.); #18741=ORIENTED_EDGE('',*,*,#14274,.F.); #18742=ORIENTED_EDGE('',*,*,#14078,.T.); #18743=ORIENTED_EDGE('',*,*,#14270,.T.); #18744=ORIENTED_EDGE('',*,*,#14272,.T.); #18745=ORIENTED_EDGE('',*,*,#14273,.T.); #18746=ORIENTED_EDGE('',*,*,#14083,.T.); #18747=ORIENTED_EDGE('',*,*,#13954,.T.); #18748=ORIENTED_EDGE('',*,*,#14275,.F.); #18749=ORIENTED_EDGE('',*,*,#14276,.F.); #18750=ORIENTED_EDGE('',*,*,#14179,.T.); #18751=ORIENTED_EDGE('',*,*,#13953,.T.); #18752=ORIENTED_EDGE('',*,*,#14277,.F.); #18753=ORIENTED_EDGE('',*,*,#14278,.F.); #18754=ORIENTED_EDGE('',*,*,#14275,.T.); #18755=ORIENTED_EDGE('',*,*,#13952,.T.); #18756=ORIENTED_EDGE('',*,*,#14172,.F.); #18757=ORIENTED_EDGE('',*,*,#14279,.F.); #18758=ORIENTED_EDGE('',*,*,#14277,.T.); #18759=ORIENTED_EDGE('',*,*,#14171,.T.); #18760=ORIENTED_EDGE('',*,*,#14280,.F.); #18761=ORIENTED_EDGE('',*,*,#14174,.T.); #18762=ORIENTED_EDGE('',*,*,#14276,.T.); #18763=ORIENTED_EDGE('',*,*,#14278,.T.); #18764=ORIENTED_EDGE('',*,*,#14279,.T.); #18765=ORIENTED_EDGE('',*,*,#13988,.T.); #18766=ORIENTED_EDGE('',*,*,#14281,.F.); #18767=ORIENTED_EDGE('',*,*,#14282,.F.); #18768=ORIENTED_EDGE('',*,*,#14047,.T.); #18769=ORIENTED_EDGE('',*,*,#13987,.T.); #18770=ORIENTED_EDGE('',*,*,#14283,.F.); #18771=ORIENTED_EDGE('',*,*,#14284,.F.); #18772=ORIENTED_EDGE('',*,*,#14281,.T.); #18773=ORIENTED_EDGE('',*,*,#13986,.T.); #18774=ORIENTED_EDGE('',*,*,#14076,.F.); #18775=ORIENTED_EDGE('',*,*,#14285,.F.); #18776=ORIENTED_EDGE('',*,*,#14283,.T.); #18777=ORIENTED_EDGE('',*,*,#14286,.F.); #18778=ORIENTED_EDGE('',*,*,#14048,.T.); #18779=ORIENTED_EDGE('',*,*,#14282,.T.); #18780=ORIENTED_EDGE('',*,*,#14284,.T.); #18781=ORIENTED_EDGE('',*,*,#14285,.T.); #18782=ORIENTED_EDGE('',*,*,#14075,.T.); #18783=ORIENTED_EDGE('',*,*,#13950,.T.); #18784=ORIENTED_EDGE('',*,*,#14287,.F.); #18785=ORIENTED_EDGE('',*,*,#14288,.F.); #18786=ORIENTED_EDGE('',*,*,#14173,.T.); #18787=ORIENTED_EDGE('',*,*,#13949,.T.); #18788=ORIENTED_EDGE('',*,*,#14289,.F.); #18789=ORIENTED_EDGE('',*,*,#14290,.F.); #18790=ORIENTED_EDGE('',*,*,#14287,.T.); #18791=ORIENTED_EDGE('',*,*,#13948,.T.); #18792=ORIENTED_EDGE('',*,*,#14142,.F.); #18793=ORIENTED_EDGE('',*,*,#14291,.F.); #18794=ORIENTED_EDGE('',*,*,#14289,.T.); #18795=ORIENTED_EDGE('',*,*,#14141,.T.); #18796=ORIENTED_EDGE('',*,*,#14292,.F.); #18797=ORIENTED_EDGE('',*,*,#14168,.T.); #18798=ORIENTED_EDGE('',*,*,#14288,.T.); #18799=ORIENTED_EDGE('',*,*,#14290,.T.); #18800=ORIENTED_EDGE('',*,*,#14291,.T.); #18801=ORIENTED_EDGE('',*,*,#13992,.T.); #18802=ORIENTED_EDGE('',*,*,#14293,.F.); #18803=ORIENTED_EDGE('',*,*,#14294,.F.); #18804=ORIENTED_EDGE('',*,*,#14041,.T.); #18805=ORIENTED_EDGE('',*,*,#13991,.T.); #18806=ORIENTED_EDGE('',*,*,#14295,.F.); #18807=ORIENTED_EDGE('',*,*,#14296,.F.); #18808=ORIENTED_EDGE('',*,*,#14293,.T.); #18809=ORIENTED_EDGE('',*,*,#13990,.T.); #18810=ORIENTED_EDGE('',*,*,#14046,.F.); #18811=ORIENTED_EDGE('',*,*,#14297,.F.); #18812=ORIENTED_EDGE('',*,*,#14295,.T.); #18813=ORIENTED_EDGE('',*,*,#14298,.F.); #18814=ORIENTED_EDGE('',*,*,#14042,.T.); #18815=ORIENTED_EDGE('',*,*,#14294,.T.); #18816=ORIENTED_EDGE('',*,*,#14296,.T.); #18817=ORIENTED_EDGE('',*,*,#14297,.T.); #18818=ORIENTED_EDGE('',*,*,#14045,.T.); #18819=ORIENTED_EDGE('',*,*,#13946,.T.); #18820=ORIENTED_EDGE('',*,*,#14299,.F.); #18821=ORIENTED_EDGE('',*,*,#14300,.F.); #18822=ORIENTED_EDGE('',*,*,#14143,.T.); #18823=ORIENTED_EDGE('',*,*,#13945,.T.); #18824=ORIENTED_EDGE('',*,*,#14301,.F.); #18825=ORIENTED_EDGE('',*,*,#14302,.F.); #18826=ORIENTED_EDGE('',*,*,#14299,.T.); #18827=ORIENTED_EDGE('',*,*,#13944,.T.); #18828=ORIENTED_EDGE('',*,*,#14134,.F.); #18829=ORIENTED_EDGE('',*,*,#14303,.F.); #18830=ORIENTED_EDGE('',*,*,#14301,.T.); #18831=ORIENTED_EDGE('',*,*,#14133,.T.); #18832=ORIENTED_EDGE('',*,*,#14304,.F.); #18833=ORIENTED_EDGE('',*,*,#14138,.T.); #18834=ORIENTED_EDGE('',*,*,#14300,.T.); #18835=ORIENTED_EDGE('',*,*,#14302,.T.); #18836=ORIENTED_EDGE('',*,*,#14303,.T.); #18837=ORIENTED_EDGE('',*,*,#13996,.T.); #18838=ORIENTED_EDGE('',*,*,#14305,.F.); #18839=ORIENTED_EDGE('',*,*,#14306,.F.); #18840=ORIENTED_EDGE('',*,*,#14061,.T.); #18841=ORIENTED_EDGE('',*,*,#13995,.T.); #18842=ORIENTED_EDGE('',*,*,#14307,.F.); #18843=ORIENTED_EDGE('',*,*,#14308,.F.); #18844=ORIENTED_EDGE('',*,*,#14305,.T.); #18845=ORIENTED_EDGE('',*,*,#13994,.T.); #18846=ORIENTED_EDGE('',*,*,#14040,.F.); #18847=ORIENTED_EDGE('',*,*,#14309,.F.); #18848=ORIENTED_EDGE('',*,*,#14307,.T.); #18849=ORIENTED_EDGE('',*,*,#14310,.F.); #18850=ORIENTED_EDGE('',*,*,#14056,.T.); #18851=ORIENTED_EDGE('',*,*,#14306,.T.); #18852=ORIENTED_EDGE('',*,*,#14308,.T.); #18853=ORIENTED_EDGE('',*,*,#14309,.T.); #18854=ORIENTED_EDGE('',*,*,#14039,.T.); #18855=ORIENTED_EDGE('',*,*,#13942,.T.); #18856=ORIENTED_EDGE('',*,*,#14311,.F.); #18857=ORIENTED_EDGE('',*,*,#14312,.F.); #18858=ORIENTED_EDGE('',*,*,#14135,.T.); #18859=ORIENTED_EDGE('',*,*,#13941,.T.); #18860=ORIENTED_EDGE('',*,*,#14313,.F.); #18861=ORIENTED_EDGE('',*,*,#14314,.F.); #18862=ORIENTED_EDGE('',*,*,#14311,.T.); #18863=ORIENTED_EDGE('',*,*,#13940,.T.); #18864=ORIENTED_EDGE('',*,*,#14154,.F.); #18865=ORIENTED_EDGE('',*,*,#14315,.F.); #18866=ORIENTED_EDGE('',*,*,#14313,.T.); #18867=ORIENTED_EDGE('',*,*,#14153,.T.); #18868=ORIENTED_EDGE('',*,*,#14316,.F.); #18869=ORIENTED_EDGE('',*,*,#14136,.T.); #18870=ORIENTED_EDGE('',*,*,#14312,.T.); #18871=ORIENTED_EDGE('',*,*,#14314,.T.); #18872=ORIENTED_EDGE('',*,*,#14315,.T.); #18873=ORIENTED_EDGE('',*,*,#14000,.T.); #18874=ORIENTED_EDGE('',*,*,#14317,.F.); #18875=ORIENTED_EDGE('',*,*,#14318,.F.); #18876=ORIENTED_EDGE('',*,*,#14053,.T.); #18877=ORIENTED_EDGE('',*,*,#13999,.T.); #18878=ORIENTED_EDGE('',*,*,#14319,.F.); #18879=ORIENTED_EDGE('',*,*,#14320,.F.); #18880=ORIENTED_EDGE('',*,*,#14317,.T.); #18881=ORIENTED_EDGE('',*,*,#13998,.T.); #18882=ORIENTED_EDGE('',*,*,#14060,.F.); #18883=ORIENTED_EDGE('',*,*,#14321,.F.); #18884=ORIENTED_EDGE('',*,*,#14319,.T.); #18885=ORIENTED_EDGE('',*,*,#14322,.F.); #18886=ORIENTED_EDGE('',*,*,#14054,.T.); #18887=ORIENTED_EDGE('',*,*,#14318,.T.); #18888=ORIENTED_EDGE('',*,*,#14320,.T.); #18889=ORIENTED_EDGE('',*,*,#14321,.T.); #18890=ORIENTED_EDGE('',*,*,#14059,.T.); #18891=ORIENTED_EDGE('',*,*,#13938,.T.); #18892=ORIENTED_EDGE('',*,*,#14323,.F.); #18893=ORIENTED_EDGE('',*,*,#14324,.F.); #18894=ORIENTED_EDGE('',*,*,#14155,.T.); #18895=ORIENTED_EDGE('',*,*,#13937,.T.); #18896=ORIENTED_EDGE('',*,*,#14325,.F.); #18897=ORIENTED_EDGE('',*,*,#14326,.F.); #18898=ORIENTED_EDGE('',*,*,#14323,.T.); #18899=ORIENTED_EDGE('',*,*,#13936,.T.); #18900=ORIENTED_EDGE('',*,*,#14146,.F.); #18901=ORIENTED_EDGE('',*,*,#14327,.F.); #18902=ORIENTED_EDGE('',*,*,#14325,.T.); #18903=ORIENTED_EDGE('',*,*,#14145,.T.); #18904=ORIENTED_EDGE('',*,*,#14328,.F.); #18905=ORIENTED_EDGE('',*,*,#14150,.T.); #18906=ORIENTED_EDGE('',*,*,#14324,.T.); #18907=ORIENTED_EDGE('',*,*,#14326,.T.); #18908=ORIENTED_EDGE('',*,*,#14327,.T.); #18909=ORIENTED_EDGE('',*,*,#14004,.T.); #18910=ORIENTED_EDGE('',*,*,#14329,.F.); #18911=ORIENTED_EDGE('',*,*,#14330,.F.); #18912=ORIENTED_EDGE('',*,*,#14115,.T.); #18913=ORIENTED_EDGE('',*,*,#14003,.T.); #18914=ORIENTED_EDGE('',*,*,#14331,.F.); #18915=ORIENTED_EDGE('',*,*,#14332,.F.); #18916=ORIENTED_EDGE('',*,*,#14329,.T.); #18917=ORIENTED_EDGE('',*,*,#14002,.T.); #18918=ORIENTED_EDGE('',*,*,#14052,.F.); #18919=ORIENTED_EDGE('',*,*,#14333,.F.); #18920=ORIENTED_EDGE('',*,*,#14331,.T.); #18921=ORIENTED_EDGE('',*,*,#14334,.F.); #18922=ORIENTED_EDGE('',*,*,#14110,.T.); #18923=ORIENTED_EDGE('',*,*,#14330,.T.); #18924=ORIENTED_EDGE('',*,*,#14332,.T.); #18925=ORIENTED_EDGE('',*,*,#14333,.T.); #18926=ORIENTED_EDGE('',*,*,#14051,.T.); #18927=ORIENTED_EDGE('',*,*,#13934,.T.); #18928=ORIENTED_EDGE('',*,*,#14335,.F.); #18929=ORIENTED_EDGE('',*,*,#14336,.F.); #18930=ORIENTED_EDGE('',*,*,#14147,.T.); #18931=ORIENTED_EDGE('',*,*,#13933,.T.); #18932=ORIENTED_EDGE('',*,*,#14337,.F.); #18933=ORIENTED_EDGE('',*,*,#14338,.F.); #18934=ORIENTED_EDGE('',*,*,#14335,.T.); #18935=ORIENTED_EDGE('',*,*,#13932,.T.); #18936=ORIENTED_EDGE('',*,*,#14212,.F.); #18937=ORIENTED_EDGE('',*,*,#14339,.F.); #18938=ORIENTED_EDGE('',*,*,#14337,.T.); #18939=ORIENTED_EDGE('',*,*,#14211,.T.); #18940=ORIENTED_EDGE('',*,*,#14340,.F.); #18941=ORIENTED_EDGE('',*,*,#14148,.T.); #18942=ORIENTED_EDGE('',*,*,#14336,.T.); #18943=ORIENTED_EDGE('',*,*,#14338,.T.); #18944=ORIENTED_EDGE('',*,*,#14339,.T.); #18945=ORIENTED_EDGE('',*,*,#14008,.T.); #18946=ORIENTED_EDGE('',*,*,#14341,.F.); #18947=ORIENTED_EDGE('',*,*,#14342,.F.); #18948=ORIENTED_EDGE('',*,*,#14107,.T.); #18949=ORIENTED_EDGE('',*,*,#14007,.T.); #18950=ORIENTED_EDGE('',*,*,#14343,.F.); #18951=ORIENTED_EDGE('',*,*,#14344,.F.); #18952=ORIENTED_EDGE('',*,*,#14341,.T.); #18953=ORIENTED_EDGE('',*,*,#14006,.T.); #18954=ORIENTED_EDGE('',*,*,#14114,.F.); #18955=ORIENTED_EDGE('',*,*,#14345,.F.); #18956=ORIENTED_EDGE('',*,*,#14343,.T.); #18957=ORIENTED_EDGE('',*,*,#14346,.F.); #18958=ORIENTED_EDGE('',*,*,#14108,.T.); #18959=ORIENTED_EDGE('',*,*,#14342,.T.); #18960=ORIENTED_EDGE('',*,*,#14344,.T.); #18961=ORIENTED_EDGE('',*,*,#14345,.T.); #18962=ORIENTED_EDGE('',*,*,#14113,.T.); #18963=ORIENTED_EDGE('',*,*,#13930,.T.); #18964=ORIENTED_EDGE('',*,*,#14347,.F.); #18965=ORIENTED_EDGE('',*,*,#14348,.F.); #18966=ORIENTED_EDGE('',*,*,#14213,.T.); #18967=ORIENTED_EDGE('',*,*,#13929,.T.); #18968=ORIENTED_EDGE('',*,*,#14349,.F.); #18969=ORIENTED_EDGE('',*,*,#14350,.F.); #18970=ORIENTED_EDGE('',*,*,#14347,.T.); #18971=ORIENTED_EDGE('',*,*,#13928,.T.); #18972=ORIENTED_EDGE('',*,*,#14204,.F.); #18973=ORIENTED_EDGE('',*,*,#14351,.F.); #18974=ORIENTED_EDGE('',*,*,#14349,.T.); #18975=ORIENTED_EDGE('',*,*,#14203,.T.); #18976=ORIENTED_EDGE('',*,*,#14352,.F.); #18977=ORIENTED_EDGE('',*,*,#14208,.T.); #18978=ORIENTED_EDGE('',*,*,#14348,.T.); #18979=ORIENTED_EDGE('',*,*,#14350,.T.); #18980=ORIENTED_EDGE('',*,*,#14351,.T.); #18981=ORIENTED_EDGE('',*,*,#14012,.T.); #18982=ORIENTED_EDGE('',*,*,#14353,.F.); #18983=ORIENTED_EDGE('',*,*,#14354,.F.); #18984=ORIENTED_EDGE('',*,*,#14127,.T.); #18985=ORIENTED_EDGE('',*,*,#14011,.T.); #18986=ORIENTED_EDGE('',*,*,#14355,.F.); #18987=ORIENTED_EDGE('',*,*,#14356,.F.); #18988=ORIENTED_EDGE('',*,*,#14353,.T.); #18989=ORIENTED_EDGE('',*,*,#14010,.T.); #18990=ORIENTED_EDGE('',*,*,#14106,.F.); #18991=ORIENTED_EDGE('',*,*,#14357,.F.); #18992=ORIENTED_EDGE('',*,*,#14355,.T.); #18993=ORIENTED_EDGE('',*,*,#14358,.F.); #18994=ORIENTED_EDGE('',*,*,#14122,.T.); #18995=ORIENTED_EDGE('',*,*,#14354,.T.); #18996=ORIENTED_EDGE('',*,*,#14356,.T.); #18997=ORIENTED_EDGE('',*,*,#14357,.T.); #18998=ORIENTED_EDGE('',*,*,#14105,.T.); #18999=ORIENTED_EDGE('',*,*,#13926,.T.); #19000=ORIENTED_EDGE('',*,*,#14359,.F.); #19001=ORIENTED_EDGE('',*,*,#14360,.F.); #19002=ORIENTED_EDGE('',*,*,#14205,.T.); #19003=ORIENTED_EDGE('',*,*,#13925,.T.); #19004=ORIENTED_EDGE('',*,*,#14361,.F.); #19005=ORIENTED_EDGE('',*,*,#14362,.F.); #19006=ORIENTED_EDGE('',*,*,#14359,.T.); #19007=ORIENTED_EDGE('',*,*,#13924,.T.); #19008=ORIENTED_EDGE('',*,*,#14224,.F.); #19009=ORIENTED_EDGE('',*,*,#14363,.F.); #19010=ORIENTED_EDGE('',*,*,#14361,.T.); #19011=ORIENTED_EDGE('',*,*,#14223,.T.); #19012=ORIENTED_EDGE('',*,*,#14364,.F.); #19013=ORIENTED_EDGE('',*,*,#14206,.T.); #19014=ORIENTED_EDGE('',*,*,#14360,.T.); #19015=ORIENTED_EDGE('',*,*,#14362,.T.); #19016=ORIENTED_EDGE('',*,*,#14363,.T.); #19017=ORIENTED_EDGE('',*,*,#14016,.T.); #19018=ORIENTED_EDGE('',*,*,#14365,.F.); #19019=ORIENTED_EDGE('',*,*,#14366,.F.); #19020=ORIENTED_EDGE('',*,*,#14119,.T.); #19021=ORIENTED_EDGE('',*,*,#14015,.T.); #19022=ORIENTED_EDGE('',*,*,#14367,.F.); #19023=ORIENTED_EDGE('',*,*,#14368,.F.); #19024=ORIENTED_EDGE('',*,*,#14365,.T.); #19025=ORIENTED_EDGE('',*,*,#14014,.T.); #19026=ORIENTED_EDGE('',*,*,#14126,.F.); #19027=ORIENTED_EDGE('',*,*,#14369,.F.); #19028=ORIENTED_EDGE('',*,*,#14367,.T.); #19029=ORIENTED_EDGE('',*,*,#14370,.F.); #19030=ORIENTED_EDGE('',*,*,#14120,.T.); #19031=ORIENTED_EDGE('',*,*,#14366,.T.); #19032=ORIENTED_EDGE('',*,*,#14368,.T.); #19033=ORIENTED_EDGE('',*,*,#14369,.T.); #19034=ORIENTED_EDGE('',*,*,#14125,.T.); #19035=ORIENTED_EDGE('',*,*,#13922,.T.); #19036=ORIENTED_EDGE('',*,*,#14371,.F.); #19037=ORIENTED_EDGE('',*,*,#14372,.F.); #19038=ORIENTED_EDGE('',*,*,#14225,.T.); #19039=ORIENTED_EDGE('',*,*,#13921,.T.); #19040=ORIENTED_EDGE('',*,*,#14373,.F.); #19041=ORIENTED_EDGE('',*,*,#14374,.F.); #19042=ORIENTED_EDGE('',*,*,#14371,.T.); #19043=ORIENTED_EDGE('',*,*,#13920,.T.); #19044=ORIENTED_EDGE('',*,*,#14218,.F.); #19045=ORIENTED_EDGE('',*,*,#14375,.F.); #19046=ORIENTED_EDGE('',*,*,#14373,.T.); #19047=ORIENTED_EDGE('',*,*,#14217,.T.); #19048=ORIENTED_EDGE('',*,*,#14376,.F.); #19049=ORIENTED_EDGE('',*,*,#14220,.T.); #19050=ORIENTED_EDGE('',*,*,#14372,.T.); #19051=ORIENTED_EDGE('',*,*,#14374,.T.); #19052=ORIENTED_EDGE('',*,*,#14375,.T.); #19053=ORIENTED_EDGE('',*,*,#14020,.T.); #19054=ORIENTED_EDGE('',*,*,#14377,.F.); #19055=ORIENTED_EDGE('',*,*,#14378,.F.); #19056=ORIENTED_EDGE('',*,*,#14095,.T.); #19057=ORIENTED_EDGE('',*,*,#14019,.T.); #19058=ORIENTED_EDGE('',*,*,#14379,.F.); #19059=ORIENTED_EDGE('',*,*,#14380,.F.); #19060=ORIENTED_EDGE('',*,*,#14377,.T.); #19061=ORIENTED_EDGE('',*,*,#14018,.T.); #19062=ORIENTED_EDGE('',*,*,#14118,.F.); #19063=ORIENTED_EDGE('',*,*,#14381,.F.); #19064=ORIENTED_EDGE('',*,*,#14379,.T.); #19065=ORIENTED_EDGE('',*,*,#14382,.F.); #19066=ORIENTED_EDGE('',*,*,#14096,.T.); #19067=ORIENTED_EDGE('',*,*,#14378,.T.); #19068=ORIENTED_EDGE('',*,*,#14380,.T.); #19069=ORIENTED_EDGE('',*,*,#14381,.T.); #19070=ORIENTED_EDGE('',*,*,#14117,.T.); #19071=ORIENTED_EDGE('',*,*,#13918,.T.); #19072=ORIENTED_EDGE('',*,*,#14383,.F.); #19073=ORIENTED_EDGE('',*,*,#14384,.F.); #19074=ORIENTED_EDGE('',*,*,#14219,.T.); #19075=ORIENTED_EDGE('',*,*,#13917,.T.); #19076=ORIENTED_EDGE('',*,*,#14385,.F.); #19077=ORIENTED_EDGE('',*,*,#14386,.F.); #19078=ORIENTED_EDGE('',*,*,#14383,.T.); #19079=ORIENTED_EDGE('',*,*,#13916,.T.); #19080=ORIENTED_EDGE('',*,*,#14190,.F.); #19081=ORIENTED_EDGE('',*,*,#14387,.F.); #19082=ORIENTED_EDGE('',*,*,#14385,.T.); #19083=ORIENTED_EDGE('',*,*,#14189,.T.); #19084=ORIENTED_EDGE('',*,*,#14388,.F.); #19085=ORIENTED_EDGE('',*,*,#14214,.T.); #19086=ORIENTED_EDGE('',*,*,#14384,.T.); #19087=ORIENTED_EDGE('',*,*,#14386,.T.); #19088=ORIENTED_EDGE('',*,*,#14387,.T.); #19089=ORIENTED_EDGE('',*,*,#14024,.T.); #19090=ORIENTED_EDGE('',*,*,#14389,.F.); #19091=ORIENTED_EDGE('',*,*,#14390,.F.); #19092=ORIENTED_EDGE('',*,*,#14089,.T.); #19093=ORIENTED_EDGE('',*,*,#14023,.T.); #19094=ORIENTED_EDGE('',*,*,#14391,.F.); #19095=ORIENTED_EDGE('',*,*,#14392,.F.); #19096=ORIENTED_EDGE('',*,*,#14389,.T.); #19097=ORIENTED_EDGE('',*,*,#14022,.T.); #19098=ORIENTED_EDGE('',*,*,#14094,.F.); #19099=ORIENTED_EDGE('',*,*,#14393,.F.); #19100=ORIENTED_EDGE('',*,*,#14391,.T.); #19101=ORIENTED_EDGE('',*,*,#14394,.F.); #19102=ORIENTED_EDGE('',*,*,#14090,.T.); #19103=ORIENTED_EDGE('',*,*,#14390,.T.); #19104=ORIENTED_EDGE('',*,*,#14392,.T.); #19105=ORIENTED_EDGE('',*,*,#14393,.T.); #19106=ORIENTED_EDGE('',*,*,#14093,.T.); #19107=ORIENTED_EDGE('',*,*,#13914,.T.); #19108=ORIENTED_EDGE('',*,*,#14395,.F.); #19109=ORIENTED_EDGE('',*,*,#14396,.F.); #19110=ORIENTED_EDGE('',*,*,#14191,.T.); #19111=ORIENTED_EDGE('',*,*,#13913,.T.); #19112=ORIENTED_EDGE('',*,*,#14397,.F.); #19113=ORIENTED_EDGE('',*,*,#14398,.F.); #19114=ORIENTED_EDGE('',*,*,#14395,.T.); #19115=ORIENTED_EDGE('',*,*,#13912,.T.); #19116=ORIENTED_EDGE('',*,*,#14182,.F.); #19117=ORIENTED_EDGE('',*,*,#14399,.F.); #19118=ORIENTED_EDGE('',*,*,#14397,.T.); #19119=ORIENTED_EDGE('',*,*,#14181,.T.); #19120=ORIENTED_EDGE('',*,*,#14400,.F.); #19121=ORIENTED_EDGE('',*,*,#14186,.T.); #19122=ORIENTED_EDGE('',*,*,#14396,.T.); #19123=ORIENTED_EDGE('',*,*,#14398,.T.); #19124=ORIENTED_EDGE('',*,*,#14399,.T.); #19125=ORIENTED_EDGE('',*,*,#14028,.T.); #19126=ORIENTED_EDGE('',*,*,#14401,.F.); #19127=ORIENTED_EDGE('',*,*,#14402,.F.); #19128=ORIENTED_EDGE('',*,*,#14103,.T.); #19129=ORIENTED_EDGE('',*,*,#14027,.T.); #19130=ORIENTED_EDGE('',*,*,#14403,.F.); #19131=ORIENTED_EDGE('',*,*,#14404,.F.); #19132=ORIENTED_EDGE('',*,*,#14401,.T.); #19133=ORIENTED_EDGE('',*,*,#14026,.T.); #19134=ORIENTED_EDGE('',*,*,#14088,.F.); #19135=ORIENTED_EDGE('',*,*,#14405,.F.); #19136=ORIENTED_EDGE('',*,*,#14403,.T.); #19137=ORIENTED_EDGE('',*,*,#14406,.F.); #19138=ORIENTED_EDGE('',*,*,#14098,.T.); #19139=ORIENTED_EDGE('',*,*,#14402,.T.); #19140=ORIENTED_EDGE('',*,*,#14404,.T.); #19141=ORIENTED_EDGE('',*,*,#14405,.T.); #19142=ORIENTED_EDGE('',*,*,#14087,.T.); #19143=ORIENTED_EDGE('',*,*,#13910,.T.); #19144=ORIENTED_EDGE('',*,*,#14407,.F.); #19145=ORIENTED_EDGE('',*,*,#14408,.F.); #19146=ORIENTED_EDGE('',*,*,#14183,.T.); #19147=ORIENTED_EDGE('',*,*,#13909,.T.); #19148=ORIENTED_EDGE('',*,*,#14409,.F.); #19149=ORIENTED_EDGE('',*,*,#14410,.F.); #19150=ORIENTED_EDGE('',*,*,#14407,.T.); #19151=ORIENTED_EDGE('',*,*,#13908,.T.); #19152=ORIENTED_EDGE('',*,*,#14200,.F.); #19153=ORIENTED_EDGE('',*,*,#14411,.F.); #19154=ORIENTED_EDGE('',*,*,#14409,.T.); #19155=ORIENTED_EDGE('',*,*,#14199,.T.); #19156=ORIENTED_EDGE('',*,*,#14412,.F.); #19157=ORIENTED_EDGE('',*,*,#14184,.T.); #19158=ORIENTED_EDGE('',*,*,#14408,.T.); #19159=ORIENTED_EDGE('',*,*,#14410,.T.); #19160=ORIENTED_EDGE('',*,*,#14411,.T.); #19161=ORIENTED_EDGE('',*,*,#14032,.T.); #19162=ORIENTED_EDGE('',*,*,#14413,.F.); #19163=ORIENTED_EDGE('',*,*,#14414,.F.); #19164=ORIENTED_EDGE('',*,*,#14229,.T.); #19165=ORIENTED_EDGE('',*,*,#14031,.T.); #19166=ORIENTED_EDGE('',*,*,#14415,.F.); #19167=ORIENTED_EDGE('',*,*,#14416,.F.); #19168=ORIENTED_EDGE('',*,*,#14413,.T.); #19169=ORIENTED_EDGE('',*,*,#14030,.T.); #19170=ORIENTED_EDGE('',*,*,#14102,.F.); #19171=ORIENTED_EDGE('',*,*,#14417,.F.); #19172=ORIENTED_EDGE('',*,*,#14415,.T.); #19173=ORIENTED_EDGE('',*,*,#14418,.F.); #19174=ORIENTED_EDGE('',*,*,#14227,.T.); #19175=ORIENTED_EDGE('',*,*,#14414,.T.); #19176=ORIENTED_EDGE('',*,*,#14416,.T.); #19177=ORIENTED_EDGE('',*,*,#14417,.T.); #19178=ORIENTED_EDGE('',*,*,#14101,.T.); #19179=ORIENTED_EDGE('',*,*,#13906,.T.); #19180=ORIENTED_EDGE('',*,*,#14419,.F.); #19181=ORIENTED_EDGE('',*,*,#14420,.F.); #19182=ORIENTED_EDGE('',*,*,#14201,.T.); #19183=ORIENTED_EDGE('',*,*,#13905,.T.); #19184=ORIENTED_EDGE('',*,*,#14421,.F.); #19185=ORIENTED_EDGE('',*,*,#14422,.F.); #19186=ORIENTED_EDGE('',*,*,#14419,.T.); #19187=ORIENTED_EDGE('',*,*,#13904,.T.); #19188=ORIENTED_EDGE('',*,*,#14194,.F.); #19189=ORIENTED_EDGE('',*,*,#14423,.F.); #19190=ORIENTED_EDGE('',*,*,#14421,.T.); #19191=ORIENTED_EDGE('',*,*,#14193,.T.); #19192=ORIENTED_EDGE('',*,*,#14424,.F.); #19193=ORIENTED_EDGE('',*,*,#14196,.T.); #19194=ORIENTED_EDGE('',*,*,#14420,.T.); #19195=ORIENTED_EDGE('',*,*,#14422,.T.); #19196=ORIENTED_EDGE('',*,*,#14423,.T.); #19197=ORIENTED_EDGE('',*,*,#14197,.F.); #19198=ORIENTED_EDGE('',*,*,#14424,.T.); #19199=ORIENTED_EDGE('',*,*,#14192,.F.); #19200=ORIENTED_EDGE('',*,*,#13635,.F.); #19201=ORIENTED_EDGE('',*,*,#14228,.F.); #19202=ORIENTED_EDGE('',*,*,#14418,.T.); #19203=ORIENTED_EDGE('',*,*,#14100,.F.); #19204=ORIENTED_EDGE('',*,*,#13506,.F.); #19205=ORIENTED_EDGE('',*,*,#14185,.F.); #19206=ORIENTED_EDGE('',*,*,#14412,.T.); #19207=ORIENTED_EDGE('',*,*,#14198,.F.); #19208=ORIENTED_EDGE('',*,*,#13375,.F.); #19209=ORIENTED_EDGE('',*,*,#14099,.F.); #19210=ORIENTED_EDGE('',*,*,#14406,.T.); #19211=ORIENTED_EDGE('',*,*,#14086,.F.); #19212=ORIENTED_EDGE('',*,*,#13337,.F.); #19213=ORIENTED_EDGE('',*,*,#14187,.F.); #19214=ORIENTED_EDGE('',*,*,#14400,.T.); #19215=ORIENTED_EDGE('',*,*,#14180,.F.); #19216=ORIENTED_EDGE('',*,*,#13466,.F.); #19217=ORIENTED_EDGE('',*,*,#14091,.F.); #19218=ORIENTED_EDGE('',*,*,#14394,.T.); #19219=ORIENTED_EDGE('',*,*,#14092,.F.); #19220=ORIENTED_EDGE('',*,*,#13597,.F.); #19221=ORIENTED_EDGE('',*,*,#14215,.F.); #19222=ORIENTED_EDGE('',*,*,#14388,.T.); #19223=ORIENTED_EDGE('',*,*,#14188,.F.); #19224=ORIENTED_EDGE('',*,*,#13726,.F.); #19225=ORIENTED_EDGE('',*,*,#14097,.F.); #19226=ORIENTED_EDGE('',*,*,#14382,.T.); #19227=ORIENTED_EDGE('',*,*,#14116,.F.); #19228=ORIENTED_EDGE('',*,*,#13779,.F.); #19229=ORIENTED_EDGE('',*,*,#14221,.F.); #19230=ORIENTED_EDGE('',*,*,#14376,.T.); #19231=ORIENTED_EDGE('',*,*,#14216,.F.); #19232=ORIENTED_EDGE('',*,*,#13648,.F.); #19233=ORIENTED_EDGE('',*,*,#14121,.F.); #19234=ORIENTED_EDGE('',*,*,#14370,.T.); #19235=ORIENTED_EDGE('',*,*,#14124,.F.); #19236=ORIENTED_EDGE('',*,*,#13519,.F.); #19237=ORIENTED_EDGE('',*,*,#14207,.F.); #19238=ORIENTED_EDGE('',*,*,#14364,.T.); #19239=ORIENTED_EDGE('',*,*,#14222,.F.); #19240=ORIENTED_EDGE('',*,*,#13388,.F.); #19241=ORIENTED_EDGE('',*,*,#14123,.F.); #19242=ORIENTED_EDGE('',*,*,#14358,.T.); #19243=ORIENTED_EDGE('',*,*,#14104,.F.); #19244=ORIENTED_EDGE('',*,*,#13324,.F.); #19245=ORIENTED_EDGE('',*,*,#14209,.F.); #19246=ORIENTED_EDGE('',*,*,#14352,.T.); #19247=ORIENTED_EDGE('',*,*,#14202,.F.); #19248=ORIENTED_EDGE('',*,*,#13453,.F.); #19249=ORIENTED_EDGE('',*,*,#14109,.F.); #19250=ORIENTED_EDGE('',*,*,#14346,.T.); #19251=ORIENTED_EDGE('',*,*,#14112,.F.); #19252=ORIENTED_EDGE('',*,*,#13584,.F.); #19253=ORIENTED_EDGE('',*,*,#14149,.F.); #19254=ORIENTED_EDGE('',*,*,#14340,.T.); #19255=ORIENTED_EDGE('',*,*,#14210,.F.); #19256=ORIENTED_EDGE('',*,*,#13713,.F.); #19257=ORIENTED_EDGE('',*,*,#14111,.F.); #19258=ORIENTED_EDGE('',*,*,#14334,.T.); #19259=ORIENTED_EDGE('',*,*,#14050,.F.); #19260=ORIENTED_EDGE('',*,*,#13792,.F.); #19261=ORIENTED_EDGE('',*,*,#14151,.F.); #19262=ORIENTED_EDGE('',*,*,#14328,.T.); #19263=ORIENTED_EDGE('',*,*,#14144,.F.); #19264=ORIENTED_EDGE('',*,*,#13661,.F.); #19265=ORIENTED_EDGE('',*,*,#14055,.F.); #19266=ORIENTED_EDGE('',*,*,#14322,.T.); #19267=ORIENTED_EDGE('',*,*,#14058,.F.); #19268=ORIENTED_EDGE('',*,*,#13532,.F.); #19269=ORIENTED_EDGE('',*,*,#14137,.F.); #19270=ORIENTED_EDGE('',*,*,#14316,.T.); #19271=ORIENTED_EDGE('',*,*,#14152,.F.); #19272=ORIENTED_EDGE('',*,*,#13401,.F.); #19273=ORIENTED_EDGE('',*,*,#14057,.F.); #19274=ORIENTED_EDGE('',*,*,#14310,.T.); #19275=ORIENTED_EDGE('',*,*,#14038,.F.); #19276=ORIENTED_EDGE('',*,*,#13311,.F.); #19277=ORIENTED_EDGE('',*,*,#14139,.F.); #19278=ORIENTED_EDGE('',*,*,#14304,.T.); #19279=ORIENTED_EDGE('',*,*,#14132,.F.); #19280=ORIENTED_EDGE('',*,*,#13440,.F.); #19281=ORIENTED_EDGE('',*,*,#14043,.F.); #19282=ORIENTED_EDGE('',*,*,#14298,.T.); #19283=ORIENTED_EDGE('',*,*,#14044,.F.); #19284=ORIENTED_EDGE('',*,*,#13571,.F.); #19285=ORIENTED_EDGE('',*,*,#14169,.F.); #19286=ORIENTED_EDGE('',*,*,#14292,.T.); #19287=ORIENTED_EDGE('',*,*,#14140,.F.); #19288=ORIENTED_EDGE('',*,*,#13700,.F.); #19289=ORIENTED_EDGE('',*,*,#14049,.F.); #19290=ORIENTED_EDGE('',*,*,#14286,.T.); #19291=ORIENTED_EDGE('',*,*,#14074,.F.); #19292=ORIENTED_EDGE('',*,*,#13805,.F.); #19293=ORIENTED_EDGE('',*,*,#14175,.F.); #19294=ORIENTED_EDGE('',*,*,#14280,.T.); #19295=ORIENTED_EDGE('',*,*,#14170,.F.); #19296=ORIENTED_EDGE('',*,*,#13674,.F.); #19297=ORIENTED_EDGE('',*,*,#14079,.F.); #19298=ORIENTED_EDGE('',*,*,#14274,.T.); #19299=ORIENTED_EDGE('',*,*,#14082,.F.); #19300=ORIENTED_EDGE('',*,*,#13545,.F.); #19301=ORIENTED_EDGE('',*,*,#14161,.F.); #19302=ORIENTED_EDGE('',*,*,#14268,.T.); #19303=ORIENTED_EDGE('',*,*,#14176,.F.); #19304=ORIENTED_EDGE('',*,*,#13414,.F.); #19305=ORIENTED_EDGE('',*,*,#14081,.F.); #19306=ORIENTED_EDGE('',*,*,#14262,.T.); #19307=ORIENTED_EDGE('',*,*,#14062,.F.); #19308=ORIENTED_EDGE('',*,*,#13298,.F.); #19309=ORIENTED_EDGE('',*,*,#14163,.F.); #19310=ORIENTED_EDGE('',*,*,#14256,.T.); #19311=ORIENTED_EDGE('',*,*,#14156,.F.); #19312=ORIENTED_EDGE('',*,*,#13427,.F.); #19313=ORIENTED_EDGE('',*,*,#14067,.F.); #19314=ORIENTED_EDGE('',*,*,#14250,.T.); #19315=ORIENTED_EDGE('',*,*,#14068,.F.); #19316=ORIENTED_EDGE('',*,*,#13558,.F.); #19317=ORIENTED_EDGE('',*,*,#14231,.F.); #19318=ORIENTED_EDGE('',*,*,#14244,.T.); #19319=ORIENTED_EDGE('',*,*,#14164,.F.); #19320=ORIENTED_EDGE('',*,*,#13688,.F.); #19321=ORIENTED_EDGE('',*,*,#14073,.F.); #19322=ORIENTED_EDGE('',*,*,#14238,.T.); #19323=ORIENTED_EDGE('',*,*,#14128,.F.); #19324=ORIENTED_EDGE('',*,*,#13817,.F.); #19325=ORIENTED_EDGE('',*,*,#14425,.T.); #19326=ORIENTED_EDGE('',*,*,#14426,.T.); #19327=ORIENTED_EDGE('',*,*,#14427,.T.); #19328=ORIENTED_EDGE('',*,*,#14428,.T.); #19329=ORIENTED_EDGE('',*,*,#14429,.F.); #19330=ORIENTED_EDGE('',*,*,#14430,.F.); #19331=ORIENTED_EDGE('',*,*,#14431,.F.); #19332=ORIENTED_EDGE('',*,*,#14432,.F.); #19333=ORIENTED_EDGE('',*,*,#14034,.T.); #19334=ORIENTED_EDGE('',*,*,#14433,.F.); #19335=ORIENTED_EDGE('',*,*,#14425,.F.); #19336=ORIENTED_EDGE('',*,*,#14434,.T.); #19337=ORIENTED_EDGE('',*,*,#14037,.T.); #19338=ORIENTED_EDGE('',*,*,#14435,.F.); #19339=ORIENTED_EDGE('',*,*,#14426,.F.); #19340=ORIENTED_EDGE('',*,*,#14433,.T.); #19341=ORIENTED_EDGE('',*,*,#14036,.T.); #19342=ORIENTED_EDGE('',*,*,#14436,.F.); #19343=ORIENTED_EDGE('',*,*,#14427,.F.); #19344=ORIENTED_EDGE('',*,*,#14435,.T.); #19345=ORIENTED_EDGE('',*,*,#14035,.T.); #19346=ORIENTED_EDGE('',*,*,#14434,.F.); #19347=ORIENTED_EDGE('',*,*,#14428,.F.); #19348=ORIENTED_EDGE('',*,*,#14436,.T.); #19349=ORIENTED_EDGE('',*,*,#14437,.F.); #19350=ORIENTED_EDGE('',*,*,#14438,.F.); #19351=ORIENTED_EDGE('',*,*,#14430,.T.); #19352=ORIENTED_EDGE('',*,*,#14439,.T.); #19353=ORIENTED_EDGE('',*,*,#14440,.F.); #19354=ORIENTED_EDGE('',*,*,#14439,.F.); #19355=ORIENTED_EDGE('',*,*,#14429,.T.); #19356=ORIENTED_EDGE('',*,*,#14441,.T.); #19357=ORIENTED_EDGE('',*,*,#14442,.F.); #19358=ORIENTED_EDGE('',*,*,#14441,.F.); #19359=ORIENTED_EDGE('',*,*,#14432,.T.); #19360=ORIENTED_EDGE('',*,*,#14443,.T.); #19361=ORIENTED_EDGE('',*,*,#14444,.F.); #19362=ORIENTED_EDGE('',*,*,#14443,.F.); #19363=ORIENTED_EDGE('',*,*,#14431,.T.); #19364=ORIENTED_EDGE('',*,*,#14438,.T.); #19365=ORIENTED_EDGE('',*,*,#14444,.T.); #19366=ORIENTED_EDGE('',*,*,#14437,.T.); #19367=ORIENTED_EDGE('',*,*,#14440,.T.); #19368=ORIENTED_EDGE('',*,*,#14442,.T.); #19369=ORIENTED_EDGE('',*,*,#13870,.F.); #19370=ORIENTED_EDGE('',*,*,#13739,.F.); #19371=ORIENTED_EDGE('',*,*,#13734,.F.); #19372=ORIENTED_EDGE('',*,*,#13858,.F.); #19373=ORIENTED_EDGE('',*,*,#14195,.F.); #19374=ORIENTED_EDGE('',*,*,#13902,.T.); #19375=ORIENTED_EDGE('',*,*,#14445,.F.); #19376=ORIENTED_EDGE('',*,*,#14446,.F.); #19377=ORIENTED_EDGE('',*,*,#14447,.T.); #19378=ORIENTED_EDGE('',*,*,#14448,.F.); #19379=ORIENTED_EDGE('',*,*,#14449,.F.); #19380=ORIENTED_EDGE('',*,*,#14448,.T.); #19381=ORIENTED_EDGE('',*,*,#14450,.T.); #19382=ORIENTED_EDGE('',*,*,#14451,.F.); #19383=ORIENTED_EDGE('',*,*,#14452,.T.); #19384=ORIENTED_EDGE('',*,*,#14446,.T.); #19385=ORIENTED_EDGE('',*,*,#14453,.F.); #19386=ORIENTED_EDGE('',*,*,#14454,.T.); #19387=ORIENTED_EDGE('',*,*,#14455,.T.); #19388=ORIENTED_EDGE('',*,*,#14456,.F.); #19389=ORIENTED_EDGE('',*,*,#14457,.F.); #19390=ORIENTED_EDGE('',*,*,#14451,.T.); #19391=ORIENTED_EDGE('',*,*,#14458,.T.); #19392=ORIENTED_EDGE('',*,*,#14459,.F.); #19393=ORIENTED_EDGE('',*,*,#14460,.F.); #19394=ORIENTED_EDGE('',*,*,#14456,.T.); #19395=ORIENTED_EDGE('',*,*,#14461,.T.); #19396=ORIENTED_EDGE('',*,*,#14454,.F.); #19397=ORIENTED_EDGE('',*,*,#14462,.F.); #19398=ORIENTED_EDGE('',*,*,#14459,.T.); #19399=ORIENTED_EDGE('',*,*,#14453,.T.); #19400=ORIENTED_EDGE('',*,*,#14445,.T.); #19401=ORIENTED_EDGE('',*,*,#14449,.T.); #19402=ORIENTED_EDGE('',*,*,#14457,.T.); #19403=ORIENTED_EDGE('',*,*,#14460,.T.); #19404=ORIENTED_EDGE('',*,*,#14462,.T.); #19405=ORIENTED_EDGE('',*,*,#14447,.F.); #19406=ORIENTED_EDGE('',*,*,#14452,.F.); #19407=ORIENTED_EDGE('',*,*,#14461,.F.); #19408=ORIENTED_EDGE('',*,*,#14458,.F.); #19409=ORIENTED_EDGE('',*,*,#14455,.F.); #19410=ORIENTED_EDGE('',*,*,#14450,.F.); #19411=ORIENTED_EDGE('',*,*,#14463,.F.); #19412=ORIENTED_EDGE('',*,*,#14464,.F.); #19413=ORIENTED_EDGE('',*,*,#14465,.T.); #19414=ORIENTED_EDGE('',*,*,#14466,.F.); #19415=ORIENTED_EDGE('',*,*,#14467,.F.); #19416=ORIENTED_EDGE('',*,*,#14466,.T.); #19417=ORIENTED_EDGE('',*,*,#14468,.T.); #19418=ORIENTED_EDGE('',*,*,#14469,.F.); #19419=ORIENTED_EDGE('',*,*,#14470,.T.); #19420=ORIENTED_EDGE('',*,*,#14464,.T.); #19421=ORIENTED_EDGE('',*,*,#14471,.F.); #19422=ORIENTED_EDGE('',*,*,#14472,.T.); #19423=ORIENTED_EDGE('',*,*,#14473,.T.); #19424=ORIENTED_EDGE('',*,*,#14474,.F.); #19425=ORIENTED_EDGE('',*,*,#14475,.F.); #19426=ORIENTED_EDGE('',*,*,#14469,.T.); #19427=ORIENTED_EDGE('',*,*,#14476,.T.); #19428=ORIENTED_EDGE('',*,*,#14477,.F.); #19429=ORIENTED_EDGE('',*,*,#14478,.F.); #19430=ORIENTED_EDGE('',*,*,#14474,.T.); #19431=ORIENTED_EDGE('',*,*,#14479,.T.); #19432=ORIENTED_EDGE('',*,*,#14472,.F.); #19433=ORIENTED_EDGE('',*,*,#14480,.F.); #19434=ORIENTED_EDGE('',*,*,#14477,.T.); #19435=ORIENTED_EDGE('',*,*,#14471,.T.); #19436=ORIENTED_EDGE('',*,*,#14463,.T.); #19437=ORIENTED_EDGE('',*,*,#14467,.T.); #19438=ORIENTED_EDGE('',*,*,#14475,.T.); #19439=ORIENTED_EDGE('',*,*,#14478,.T.); #19440=ORIENTED_EDGE('',*,*,#14480,.T.); #19441=ORIENTED_EDGE('',*,*,#14465,.F.); #19442=ORIENTED_EDGE('',*,*,#14470,.F.); #19443=ORIENTED_EDGE('',*,*,#14479,.F.); #19444=ORIENTED_EDGE('',*,*,#14476,.F.); #19445=ORIENTED_EDGE('',*,*,#14473,.F.); #19446=ORIENTED_EDGE('',*,*,#14468,.F.); #19447=ORIENTED_EDGE('',*,*,#14481,.F.); #19448=ORIENTED_EDGE('',*,*,#14482,.F.); #19449=ORIENTED_EDGE('',*,*,#14483,.T.); #19450=ORIENTED_EDGE('',*,*,#14484,.F.); #19451=ORIENTED_EDGE('',*,*,#14485,.F.); #19452=ORIENTED_EDGE('',*,*,#14484,.T.); #19453=ORIENTED_EDGE('',*,*,#14486,.T.); #19454=ORIENTED_EDGE('',*,*,#14487,.F.); #19455=ORIENTED_EDGE('',*,*,#14488,.T.); #19456=ORIENTED_EDGE('',*,*,#14482,.T.); #19457=ORIENTED_EDGE('',*,*,#14489,.F.); #19458=ORIENTED_EDGE('',*,*,#14490,.T.); #19459=ORIENTED_EDGE('',*,*,#14491,.T.); #19460=ORIENTED_EDGE('',*,*,#14492,.F.); #19461=ORIENTED_EDGE('',*,*,#14493,.F.); #19462=ORIENTED_EDGE('',*,*,#14487,.T.); #19463=ORIENTED_EDGE('',*,*,#14494,.T.); #19464=ORIENTED_EDGE('',*,*,#14495,.F.); #19465=ORIENTED_EDGE('',*,*,#14496,.F.); #19466=ORIENTED_EDGE('',*,*,#14492,.T.); #19467=ORIENTED_EDGE('',*,*,#14497,.T.); #19468=ORIENTED_EDGE('',*,*,#14490,.F.); #19469=ORIENTED_EDGE('',*,*,#14498,.F.); #19470=ORIENTED_EDGE('',*,*,#14495,.T.); #19471=ORIENTED_EDGE('',*,*,#14489,.T.); #19472=ORIENTED_EDGE('',*,*,#14481,.T.); #19473=ORIENTED_EDGE('',*,*,#14485,.T.); #19474=ORIENTED_EDGE('',*,*,#14493,.T.); #19475=ORIENTED_EDGE('',*,*,#14496,.T.); #19476=ORIENTED_EDGE('',*,*,#14498,.T.); #19477=ORIENTED_EDGE('',*,*,#14483,.F.); #19478=ORIENTED_EDGE('',*,*,#14488,.F.); #19479=ORIENTED_EDGE('',*,*,#14497,.F.); #19480=ORIENTED_EDGE('',*,*,#14494,.F.); #19481=ORIENTED_EDGE('',*,*,#14491,.F.); #19482=ORIENTED_EDGE('',*,*,#14486,.F.); #19483=ORIENTED_EDGE('',*,*,#14499,.F.); #19484=ORIENTED_EDGE('',*,*,#14500,.F.); #19485=ORIENTED_EDGE('',*,*,#14501,.T.); #19486=ORIENTED_EDGE('',*,*,#14502,.F.); #19487=ORIENTED_EDGE('',*,*,#14503,.F.); #19488=ORIENTED_EDGE('',*,*,#14502,.T.); #19489=ORIENTED_EDGE('',*,*,#14504,.T.); #19490=ORIENTED_EDGE('',*,*,#14505,.F.); #19491=ORIENTED_EDGE('',*,*,#14506,.T.); #19492=ORIENTED_EDGE('',*,*,#14500,.T.); #19493=ORIENTED_EDGE('',*,*,#14507,.F.); #19494=ORIENTED_EDGE('',*,*,#14508,.T.); #19495=ORIENTED_EDGE('',*,*,#14509,.T.); #19496=ORIENTED_EDGE('',*,*,#14510,.F.); #19497=ORIENTED_EDGE('',*,*,#14511,.F.); #19498=ORIENTED_EDGE('',*,*,#14505,.T.); #19499=ORIENTED_EDGE('',*,*,#14512,.T.); #19500=ORIENTED_EDGE('',*,*,#14513,.F.); #19501=ORIENTED_EDGE('',*,*,#14514,.F.); #19502=ORIENTED_EDGE('',*,*,#14510,.T.); #19503=ORIENTED_EDGE('',*,*,#14515,.T.); #19504=ORIENTED_EDGE('',*,*,#14508,.F.); #19505=ORIENTED_EDGE('',*,*,#14516,.F.); #19506=ORIENTED_EDGE('',*,*,#14513,.T.); #19507=ORIENTED_EDGE('',*,*,#14507,.T.); #19508=ORIENTED_EDGE('',*,*,#14499,.T.); #19509=ORIENTED_EDGE('',*,*,#14503,.T.); #19510=ORIENTED_EDGE('',*,*,#14511,.T.); #19511=ORIENTED_EDGE('',*,*,#14514,.T.); #19512=ORIENTED_EDGE('',*,*,#14516,.T.); #19513=ORIENTED_EDGE('',*,*,#14501,.F.); #19514=ORIENTED_EDGE('',*,*,#14506,.F.); #19515=ORIENTED_EDGE('',*,*,#14515,.F.); #19516=ORIENTED_EDGE('',*,*,#14512,.F.); #19517=ORIENTED_EDGE('',*,*,#14509,.F.); #19518=ORIENTED_EDGE('',*,*,#14504,.F.); #19519=ORIENTED_EDGE('',*,*,#14517,.T.); #19520=ORIENTED_EDGE('',*,*,#14518,.F.); #19521=ORIENTED_EDGE('',*,*,#14519,.F.); #19522=ORIENTED_EDGE('',*,*,#14520,.F.); #19523=ORIENTED_EDGE('',*,*,#14521,.F.); #19524=ORIENTED_EDGE('',*,*,#14520,.T.); #19525=ORIENTED_EDGE('',*,*,#14522,.T.); #19526=ORIENTED_EDGE('',*,*,#14523,.F.); #19527=ORIENTED_EDGE('',*,*,#14524,.T.); #19528=ORIENTED_EDGE('',*,*,#14518,.T.); #19529=ORIENTED_EDGE('',*,*,#14525,.F.); #19530=ORIENTED_EDGE('',*,*,#14526,.T.); #19531=ORIENTED_EDGE('',*,*,#14527,.T.); #19532=ORIENTED_EDGE('',*,*,#14528,.F.); #19533=ORIENTED_EDGE('',*,*,#14529,.F.); #19534=ORIENTED_EDGE('',*,*,#14523,.T.); #19535=ORIENTED_EDGE('',*,*,#14530,.T.); #19536=ORIENTED_EDGE('',*,*,#14531,.F.); #19537=ORIENTED_EDGE('',*,*,#14532,.F.); #19538=ORIENTED_EDGE('',*,*,#14528,.T.); #19539=ORIENTED_EDGE('',*,*,#14533,.T.); #19540=ORIENTED_EDGE('',*,*,#14526,.F.); #19541=ORIENTED_EDGE('',*,*,#14534,.F.); #19542=ORIENTED_EDGE('',*,*,#14531,.T.); #19543=ORIENTED_EDGE('',*,*,#14517,.F.); #19544=ORIENTED_EDGE('',*,*,#14521,.T.); #19545=ORIENTED_EDGE('',*,*,#14529,.T.); #19546=ORIENTED_EDGE('',*,*,#14532,.T.); #19547=ORIENTED_EDGE('',*,*,#14534,.T.); #19548=ORIENTED_EDGE('',*,*,#14525,.T.); #19549=ORIENTED_EDGE('',*,*,#14522,.F.); #19550=ORIENTED_EDGE('',*,*,#14519,.T.); #19551=ORIENTED_EDGE('',*,*,#14524,.F.); #19552=ORIENTED_EDGE('',*,*,#14533,.F.); #19553=ORIENTED_EDGE('',*,*,#14530,.F.); #19554=ORIENTED_EDGE('',*,*,#14527,.F.); #19555=ORIENTED_EDGE('',*,*,#14535,.T.); #19556=ORIENTED_EDGE('',*,*,#14536,.F.); #19557=ORIENTED_EDGE('',*,*,#14537,.F.); #19558=ORIENTED_EDGE('',*,*,#14538,.F.); #19559=ORIENTED_EDGE('',*,*,#14539,.F.); #19560=ORIENTED_EDGE('',*,*,#14538,.T.); #19561=ORIENTED_EDGE('',*,*,#14540,.T.); #19562=ORIENTED_EDGE('',*,*,#14541,.F.); #19563=ORIENTED_EDGE('',*,*,#14542,.T.); #19564=ORIENTED_EDGE('',*,*,#14536,.T.); #19565=ORIENTED_EDGE('',*,*,#14543,.F.); #19566=ORIENTED_EDGE('',*,*,#14544,.T.); #19567=ORIENTED_EDGE('',*,*,#14545,.T.); #19568=ORIENTED_EDGE('',*,*,#14546,.F.); #19569=ORIENTED_EDGE('',*,*,#14547,.F.); #19570=ORIENTED_EDGE('',*,*,#14541,.T.); #19571=ORIENTED_EDGE('',*,*,#14548,.T.); #19572=ORIENTED_EDGE('',*,*,#14549,.F.); #19573=ORIENTED_EDGE('',*,*,#14550,.F.); #19574=ORIENTED_EDGE('',*,*,#14546,.T.); #19575=ORIENTED_EDGE('',*,*,#14551,.T.); #19576=ORIENTED_EDGE('',*,*,#14544,.F.); #19577=ORIENTED_EDGE('',*,*,#14552,.F.); #19578=ORIENTED_EDGE('',*,*,#14549,.T.); #19579=ORIENTED_EDGE('',*,*,#14535,.F.); #19580=ORIENTED_EDGE('',*,*,#14539,.T.); #19581=ORIENTED_EDGE('',*,*,#14547,.T.); #19582=ORIENTED_EDGE('',*,*,#14550,.T.); #19583=ORIENTED_EDGE('',*,*,#14552,.T.); #19584=ORIENTED_EDGE('',*,*,#14543,.T.); #19585=ORIENTED_EDGE('',*,*,#14540,.F.); #19586=ORIENTED_EDGE('',*,*,#14537,.T.); #19587=ORIENTED_EDGE('',*,*,#14542,.F.); #19588=ORIENTED_EDGE('',*,*,#14551,.F.); #19589=ORIENTED_EDGE('',*,*,#14548,.F.); #19590=ORIENTED_EDGE('',*,*,#14545,.F.); #19591=ORIENTED_EDGE('',*,*,#14553,.F.); #19592=ORIENTED_EDGE('',*,*,#14554,.F.); #19593=ORIENTED_EDGE('',*,*,#14555,.T.); #19594=ORIENTED_EDGE('',*,*,#14556,.F.); #19595=ORIENTED_EDGE('',*,*,#14557,.F.); #19596=ORIENTED_EDGE('',*,*,#14556,.T.); #19597=ORIENTED_EDGE('',*,*,#14558,.T.); #19598=ORIENTED_EDGE('',*,*,#14559,.F.); #19599=ORIENTED_EDGE('',*,*,#14560,.T.); #19600=ORIENTED_EDGE('',*,*,#14554,.T.); #19601=ORIENTED_EDGE('',*,*,#14561,.F.); #19602=ORIENTED_EDGE('',*,*,#14562,.T.); #19603=ORIENTED_EDGE('',*,*,#14563,.T.); #19604=ORIENTED_EDGE('',*,*,#14564,.F.); #19605=ORIENTED_EDGE('',*,*,#14565,.F.); #19606=ORIENTED_EDGE('',*,*,#14559,.T.); #19607=ORIENTED_EDGE('',*,*,#14566,.T.); #19608=ORIENTED_EDGE('',*,*,#14567,.F.); #19609=ORIENTED_EDGE('',*,*,#14568,.F.); #19610=ORIENTED_EDGE('',*,*,#14564,.T.); #19611=ORIENTED_EDGE('',*,*,#14569,.T.); #19612=ORIENTED_EDGE('',*,*,#14562,.F.); #19613=ORIENTED_EDGE('',*,*,#14570,.F.); #19614=ORIENTED_EDGE('',*,*,#14567,.T.); #19615=ORIENTED_EDGE('',*,*,#14561,.T.); #19616=ORIENTED_EDGE('',*,*,#14553,.T.); #19617=ORIENTED_EDGE('',*,*,#14557,.T.); #19618=ORIENTED_EDGE('',*,*,#14565,.T.); #19619=ORIENTED_EDGE('',*,*,#14568,.T.); #19620=ORIENTED_EDGE('',*,*,#14570,.T.); #19621=ORIENTED_EDGE('',*,*,#14555,.F.); #19622=ORIENTED_EDGE('',*,*,#14560,.F.); #19623=ORIENTED_EDGE('',*,*,#14569,.F.); #19624=ORIENTED_EDGE('',*,*,#14566,.F.); #19625=ORIENTED_EDGE('',*,*,#14563,.F.); #19626=ORIENTED_EDGE('',*,*,#14558,.F.); #19627=ORIENTED_EDGE('',*,*,#14571,.F.); #19628=ORIENTED_EDGE('',*,*,#14572,.F.); #19629=ORIENTED_EDGE('',*,*,#14573,.T.); #19630=ORIENTED_EDGE('',*,*,#14574,.F.); #19631=ORIENTED_EDGE('',*,*,#14575,.F.); #19632=ORIENTED_EDGE('',*,*,#14574,.T.); #19633=ORIENTED_EDGE('',*,*,#14576,.T.); #19634=ORIENTED_EDGE('',*,*,#14577,.F.); #19635=ORIENTED_EDGE('',*,*,#14578,.T.); #19636=ORIENTED_EDGE('',*,*,#14572,.T.); #19637=ORIENTED_EDGE('',*,*,#14579,.F.); #19638=ORIENTED_EDGE('',*,*,#14580,.T.); #19639=ORIENTED_EDGE('',*,*,#14581,.T.); #19640=ORIENTED_EDGE('',*,*,#14582,.F.); #19641=ORIENTED_EDGE('',*,*,#14583,.F.); #19642=ORIENTED_EDGE('',*,*,#14577,.T.); #19643=ORIENTED_EDGE('',*,*,#14584,.T.); #19644=ORIENTED_EDGE('',*,*,#14585,.F.); #19645=ORIENTED_EDGE('',*,*,#14586,.F.); #19646=ORIENTED_EDGE('',*,*,#14582,.T.); #19647=ORIENTED_EDGE('',*,*,#14587,.T.); #19648=ORIENTED_EDGE('',*,*,#14580,.F.); #19649=ORIENTED_EDGE('',*,*,#14588,.F.); #19650=ORIENTED_EDGE('',*,*,#14585,.T.); #19651=ORIENTED_EDGE('',*,*,#14579,.T.); #19652=ORIENTED_EDGE('',*,*,#14571,.T.); #19653=ORIENTED_EDGE('',*,*,#14575,.T.); #19654=ORIENTED_EDGE('',*,*,#14583,.T.); #19655=ORIENTED_EDGE('',*,*,#14586,.T.); #19656=ORIENTED_EDGE('',*,*,#14588,.T.); #19657=ORIENTED_EDGE('',*,*,#14573,.F.); #19658=ORIENTED_EDGE('',*,*,#14578,.F.); #19659=ORIENTED_EDGE('',*,*,#14587,.F.); #19660=ORIENTED_EDGE('',*,*,#14584,.F.); #19661=ORIENTED_EDGE('',*,*,#14581,.F.); #19662=ORIENTED_EDGE('',*,*,#14576,.F.); #19663=ORIENTED_EDGE('',*,*,#14589,.F.); #19664=ORIENTED_EDGE('',*,*,#14590,.T.); #19665=ORIENTED_EDGE('',*,*,#14591,.T.); #19666=ORIENTED_EDGE('',*,*,#14592,.F.); #19667=ORIENTED_EDGE('',*,*,#14593,.F.); #19668=ORIENTED_EDGE('',*,*,#14594,.T.); #19669=ORIENTED_EDGE('',*,*,#14595,.T.); #19670=ORIENTED_EDGE('',*,*,#14590,.F.); #19671=ORIENTED_EDGE('',*,*,#14596,.F.); #19672=ORIENTED_EDGE('',*,*,#14597,.T.); #19673=ORIENTED_EDGE('',*,*,#14598,.T.); #19674=ORIENTED_EDGE('',*,*,#14594,.F.); #19675=ORIENTED_EDGE('',*,*,#14599,.F.); #19676=ORIENTED_EDGE('',*,*,#14600,.T.); #19677=ORIENTED_EDGE('',*,*,#14601,.T.); #19678=ORIENTED_EDGE('',*,*,#14597,.F.); #19679=ORIENTED_EDGE('',*,*,#14602,.F.); #19680=ORIENTED_EDGE('',*,*,#14603,.T.); #19681=ORIENTED_EDGE('',*,*,#14604,.T.); #19682=ORIENTED_EDGE('',*,*,#14600,.F.); #19683=ORIENTED_EDGE('',*,*,#14605,.F.); #19684=ORIENTED_EDGE('',*,*,#14606,.T.); #19685=ORIENTED_EDGE('',*,*,#14607,.T.); #19686=ORIENTED_EDGE('',*,*,#14603,.F.); #19687=ORIENTED_EDGE('',*,*,#14608,.F.); #19688=ORIENTED_EDGE('',*,*,#14609,.T.); #19689=ORIENTED_EDGE('',*,*,#14610,.T.); #19690=ORIENTED_EDGE('',*,*,#14606,.F.); #19691=ORIENTED_EDGE('',*,*,#14611,.F.); #19692=ORIENTED_EDGE('',*,*,#14612,.T.); #19693=ORIENTED_EDGE('',*,*,#14613,.T.); #19694=ORIENTED_EDGE('',*,*,#14609,.F.); #19695=ORIENTED_EDGE('',*,*,#14614,.F.); #19696=ORIENTED_EDGE('',*,*,#14615,.T.); #19697=ORIENTED_EDGE('',*,*,#14616,.T.); #19698=ORIENTED_EDGE('',*,*,#14612,.F.); #19699=ORIENTED_EDGE('',*,*,#14617,.F.); #19700=ORIENTED_EDGE('',*,*,#14618,.T.); #19701=ORIENTED_EDGE('',*,*,#14619,.T.); #19702=ORIENTED_EDGE('',*,*,#14615,.F.); #19703=ORIENTED_EDGE('',*,*,#14620,.F.); #19704=ORIENTED_EDGE('',*,*,#14592,.T.); #19705=ORIENTED_EDGE('',*,*,#14621,.T.); #19706=ORIENTED_EDGE('',*,*,#14618,.F.); #19707=ORIENTED_EDGE('',*,*,#14622,.F.); #19708=ORIENTED_EDGE('',*,*,#14623,.T.); #19709=ORIENTED_EDGE('',*,*,#14624,.T.); #19710=ORIENTED_EDGE('',*,*,#14625,.F.); #19711=ORIENTED_EDGE('',*,*,#14626,.F.); #19712=ORIENTED_EDGE('',*,*,#14627,.T.); #19713=ORIENTED_EDGE('',*,*,#14628,.T.); #19714=ORIENTED_EDGE('',*,*,#14623,.F.); #19715=ORIENTED_EDGE('',*,*,#14629,.F.); #19716=ORIENTED_EDGE('',*,*,#14630,.T.); #19717=ORIENTED_EDGE('',*,*,#14631,.T.); #19718=ORIENTED_EDGE('',*,*,#14627,.F.); #19719=ORIENTED_EDGE('',*,*,#14632,.F.); #19720=ORIENTED_EDGE('',*,*,#14633,.T.); #19721=ORIENTED_EDGE('',*,*,#14634,.T.); #19722=ORIENTED_EDGE('',*,*,#14630,.F.); #19723=ORIENTED_EDGE('',*,*,#14635,.F.); #19724=ORIENTED_EDGE('',*,*,#14636,.T.); #19725=ORIENTED_EDGE('',*,*,#14637,.T.); #19726=ORIENTED_EDGE('',*,*,#14633,.F.); #19727=ORIENTED_EDGE('',*,*,#14638,.F.); #19728=ORIENTED_EDGE('',*,*,#14639,.T.); #19729=ORIENTED_EDGE('',*,*,#14640,.T.); #19730=ORIENTED_EDGE('',*,*,#14636,.F.); #19731=ORIENTED_EDGE('',*,*,#14641,.F.); #19732=ORIENTED_EDGE('',*,*,#14642,.T.); #19733=ORIENTED_EDGE('',*,*,#14643,.T.); #19734=ORIENTED_EDGE('',*,*,#14639,.F.); #19735=ORIENTED_EDGE('',*,*,#14644,.F.); #19736=ORIENTED_EDGE('',*,*,#14645,.T.); #19737=ORIENTED_EDGE('',*,*,#14646,.T.); #19738=ORIENTED_EDGE('',*,*,#14642,.F.); #19739=ORIENTED_EDGE('',*,*,#14647,.F.); #19740=ORIENTED_EDGE('',*,*,#14648,.T.); #19741=ORIENTED_EDGE('',*,*,#14649,.T.); #19742=ORIENTED_EDGE('',*,*,#14645,.F.); #19743=ORIENTED_EDGE('',*,*,#14650,.F.); #19744=ORIENTED_EDGE('',*,*,#14651,.T.); #19745=ORIENTED_EDGE('',*,*,#14652,.T.); #19746=ORIENTED_EDGE('',*,*,#14648,.F.); #19747=ORIENTED_EDGE('',*,*,#14653,.F.); #19748=ORIENTED_EDGE('',*,*,#14625,.T.); #19749=ORIENTED_EDGE('',*,*,#14654,.T.); #19750=ORIENTED_EDGE('',*,*,#14651,.F.); #19751=ORIENTED_EDGE('',*,*,#14654,.F.); #19752=ORIENTED_EDGE('',*,*,#14624,.F.); #19753=ORIENTED_EDGE('',*,*,#14628,.F.); #19754=ORIENTED_EDGE('',*,*,#14631,.F.); #19755=ORIENTED_EDGE('',*,*,#14634,.F.); #19756=ORIENTED_EDGE('',*,*,#14637,.F.); #19757=ORIENTED_EDGE('',*,*,#14640,.F.); #19758=ORIENTED_EDGE('',*,*,#14643,.F.); #19759=ORIENTED_EDGE('',*,*,#14646,.F.); #19760=ORIENTED_EDGE('',*,*,#14649,.F.); #19761=ORIENTED_EDGE('',*,*,#14652,.F.); #19762=ORIENTED_EDGE('',*,*,#14621,.F.); #19763=ORIENTED_EDGE('',*,*,#14591,.F.); #19764=ORIENTED_EDGE('',*,*,#14595,.F.); #19765=ORIENTED_EDGE('',*,*,#14598,.F.); #19766=ORIENTED_EDGE('',*,*,#14601,.F.); #19767=ORIENTED_EDGE('',*,*,#14604,.F.); #19768=ORIENTED_EDGE('',*,*,#14607,.F.); #19769=ORIENTED_EDGE('',*,*,#14610,.F.); #19770=ORIENTED_EDGE('',*,*,#14613,.F.); #19771=ORIENTED_EDGE('',*,*,#14616,.F.); #19772=ORIENTED_EDGE('',*,*,#14619,.F.); #19773=ORIENTED_EDGE('',*,*,#14655,.F.); #19774=ORIENTED_EDGE('',*,*,#14656,.T.); #19775=ORIENTED_EDGE('',*,*,#14657,.T.); #19776=ORIENTED_EDGE('',*,*,#14658,.F.); #19777=ORIENTED_EDGE('',*,*,#14659,.F.); #19778=ORIENTED_EDGE('',*,*,#14660,.T.); #19779=ORIENTED_EDGE('',*,*,#14661,.T.); #19780=ORIENTED_EDGE('',*,*,#14656,.F.); #19781=ORIENTED_EDGE('',*,*,#14662,.F.); #19782=ORIENTED_EDGE('',*,*,#14663,.T.); #19783=ORIENTED_EDGE('',*,*,#14664,.T.); #19784=ORIENTED_EDGE('',*,*,#14660,.F.); #19785=ORIENTED_EDGE('',*,*,#14665,.F.); #19786=ORIENTED_EDGE('',*,*,#14666,.T.); #19787=ORIENTED_EDGE('',*,*,#14667,.T.); #19788=ORIENTED_EDGE('',*,*,#14663,.F.); #19789=ORIENTED_EDGE('',*,*,#14668,.F.); #19790=ORIENTED_EDGE('',*,*,#14669,.T.); #19791=ORIENTED_EDGE('',*,*,#14670,.T.); #19792=ORIENTED_EDGE('',*,*,#14666,.F.); #19793=ORIENTED_EDGE('',*,*,#14671,.F.); #19794=ORIENTED_EDGE('',*,*,#14672,.T.); #19795=ORIENTED_EDGE('',*,*,#14673,.T.); #19796=ORIENTED_EDGE('',*,*,#14669,.F.); #19797=ORIENTED_EDGE('',*,*,#14674,.F.); #19798=ORIENTED_EDGE('',*,*,#14675,.T.); #19799=ORIENTED_EDGE('',*,*,#14676,.T.); #19800=ORIENTED_EDGE('',*,*,#14672,.F.); #19801=ORIENTED_EDGE('',*,*,#14677,.F.); #19802=ORIENTED_EDGE('',*,*,#14678,.T.); #19803=ORIENTED_EDGE('',*,*,#14679,.T.); #19804=ORIENTED_EDGE('',*,*,#14675,.F.); #19805=ORIENTED_EDGE('',*,*,#14680,.F.); #19806=ORIENTED_EDGE('',*,*,#14681,.T.); #19807=ORIENTED_EDGE('',*,*,#14682,.T.); #19808=ORIENTED_EDGE('',*,*,#14678,.F.); #19809=ORIENTED_EDGE('',*,*,#14683,.F.); #19810=ORIENTED_EDGE('',*,*,#14684,.T.); #19811=ORIENTED_EDGE('',*,*,#14685,.T.); #19812=ORIENTED_EDGE('',*,*,#14681,.F.); #19813=ORIENTED_EDGE('',*,*,#14686,.F.); #19814=ORIENTED_EDGE('',*,*,#14687,.T.); #19815=ORIENTED_EDGE('',*,*,#14688,.T.); #19816=ORIENTED_EDGE('',*,*,#14684,.F.); #19817=ORIENTED_EDGE('',*,*,#14689,.F.); #19818=ORIENTED_EDGE('',*,*,#14690,.T.); #19819=ORIENTED_EDGE('',*,*,#14691,.T.); #19820=ORIENTED_EDGE('',*,*,#14687,.F.); #19821=ORIENTED_EDGE('',*,*,#14692,.F.); #19822=ORIENTED_EDGE('',*,*,#14693,.T.); #19823=ORIENTED_EDGE('',*,*,#14694,.T.); #19824=ORIENTED_EDGE('',*,*,#14690,.F.); #19825=ORIENTED_EDGE('',*,*,#14695,.F.); #19826=ORIENTED_EDGE('',*,*,#14696,.T.); #19827=ORIENTED_EDGE('',*,*,#14697,.T.); #19828=ORIENTED_EDGE('',*,*,#14693,.F.); #19829=ORIENTED_EDGE('',*,*,#14698,.F.); #19830=ORIENTED_EDGE('',*,*,#14699,.T.); #19831=ORIENTED_EDGE('',*,*,#14700,.T.); #19832=ORIENTED_EDGE('',*,*,#14696,.F.); #19833=ORIENTED_EDGE('',*,*,#14701,.F.); #19834=ORIENTED_EDGE('',*,*,#14702,.T.); #19835=ORIENTED_EDGE('',*,*,#14703,.T.); #19836=ORIENTED_EDGE('',*,*,#14699,.F.); #19837=ORIENTED_EDGE('',*,*,#14704,.F.); #19838=ORIENTED_EDGE('',*,*,#14705,.T.); #19839=ORIENTED_EDGE('',*,*,#14706,.T.); #19840=ORIENTED_EDGE('',*,*,#14702,.F.); #19841=ORIENTED_EDGE('',*,*,#14707,.F.); #19842=ORIENTED_EDGE('',*,*,#14708,.T.); #19843=ORIENTED_EDGE('',*,*,#14709,.T.); #19844=ORIENTED_EDGE('',*,*,#14705,.F.); #19845=ORIENTED_EDGE('',*,*,#14710,.F.); #19846=ORIENTED_EDGE('',*,*,#14711,.T.); #19847=ORIENTED_EDGE('',*,*,#14712,.T.); #19848=ORIENTED_EDGE('',*,*,#14708,.F.); #19849=ORIENTED_EDGE('',*,*,#14713,.F.); #19850=ORIENTED_EDGE('',*,*,#14714,.T.); #19851=ORIENTED_EDGE('',*,*,#14715,.T.); #19852=ORIENTED_EDGE('',*,*,#14711,.F.); #19853=ORIENTED_EDGE('',*,*,#14716,.F.); #19854=ORIENTED_EDGE('',*,*,#14717,.T.); #19855=ORIENTED_EDGE('',*,*,#14718,.T.); #19856=ORIENTED_EDGE('',*,*,#14714,.F.); #19857=ORIENTED_EDGE('',*,*,#14719,.F.); #19858=ORIENTED_EDGE('',*,*,#14720,.T.); #19859=ORIENTED_EDGE('',*,*,#14721,.T.); #19860=ORIENTED_EDGE('',*,*,#14717,.F.); #19861=ORIENTED_EDGE('',*,*,#14722,.F.); #19862=ORIENTED_EDGE('',*,*,#14723,.T.); #19863=ORIENTED_EDGE('',*,*,#14724,.T.); #19864=ORIENTED_EDGE('',*,*,#14720,.F.); #19865=ORIENTED_EDGE('',*,*,#14725,.F.); #19866=ORIENTED_EDGE('',*,*,#14726,.T.); #19867=ORIENTED_EDGE('',*,*,#14727,.T.); #19868=ORIENTED_EDGE('',*,*,#14723,.F.); #19869=ORIENTED_EDGE('',*,*,#14728,.F.); #19870=ORIENTED_EDGE('',*,*,#14729,.T.); #19871=ORIENTED_EDGE('',*,*,#14730,.T.); #19872=ORIENTED_EDGE('',*,*,#14726,.F.); #19873=ORIENTED_EDGE('',*,*,#14731,.F.); #19874=ORIENTED_EDGE('',*,*,#14732,.T.); #19875=ORIENTED_EDGE('',*,*,#14733,.T.); #19876=ORIENTED_EDGE('',*,*,#14729,.F.); #19877=ORIENTED_EDGE('',*,*,#14734,.F.); #19878=ORIENTED_EDGE('',*,*,#14735,.T.); #19879=ORIENTED_EDGE('',*,*,#14736,.T.); #19880=ORIENTED_EDGE('',*,*,#14732,.F.); #19881=ORIENTED_EDGE('',*,*,#14737,.F.); #19882=ORIENTED_EDGE('',*,*,#14738,.T.); #19883=ORIENTED_EDGE('',*,*,#14739,.T.); #19884=ORIENTED_EDGE('',*,*,#14735,.F.); #19885=ORIENTED_EDGE('',*,*,#14740,.F.); #19886=ORIENTED_EDGE('',*,*,#14741,.T.); #19887=ORIENTED_EDGE('',*,*,#14742,.T.); #19888=ORIENTED_EDGE('',*,*,#14738,.F.); #19889=ORIENTED_EDGE('',*,*,#14743,.F.); #19890=ORIENTED_EDGE('',*,*,#14744,.T.); #19891=ORIENTED_EDGE('',*,*,#14745,.T.); #19892=ORIENTED_EDGE('',*,*,#14741,.F.); #19893=ORIENTED_EDGE('',*,*,#14746,.F.); #19894=ORIENTED_EDGE('',*,*,#14658,.T.); #19895=ORIENTED_EDGE('',*,*,#14747,.T.); #19896=ORIENTED_EDGE('',*,*,#14744,.F.); #19897=ORIENTED_EDGE('',*,*,#14747,.F.); #19898=ORIENTED_EDGE('',*,*,#14657,.F.); #19899=ORIENTED_EDGE('',*,*,#14661,.F.); #19900=ORIENTED_EDGE('',*,*,#14664,.F.); #19901=ORIENTED_EDGE('',*,*,#14667,.F.); #19902=ORIENTED_EDGE('',*,*,#14670,.F.); #19903=ORIENTED_EDGE('',*,*,#14673,.F.); #19904=ORIENTED_EDGE('',*,*,#14676,.F.); #19905=ORIENTED_EDGE('',*,*,#14679,.F.); #19906=ORIENTED_EDGE('',*,*,#14682,.F.); #19907=ORIENTED_EDGE('',*,*,#14685,.F.); #19908=ORIENTED_EDGE('',*,*,#14688,.F.); #19909=ORIENTED_EDGE('',*,*,#14691,.F.); #19910=ORIENTED_EDGE('',*,*,#14694,.F.); #19911=ORIENTED_EDGE('',*,*,#14697,.F.); #19912=ORIENTED_EDGE('',*,*,#14700,.F.); #19913=ORIENTED_EDGE('',*,*,#14703,.F.); #19914=ORIENTED_EDGE('',*,*,#14706,.F.); #19915=ORIENTED_EDGE('',*,*,#14709,.F.); #19916=ORIENTED_EDGE('',*,*,#14712,.F.); #19917=ORIENTED_EDGE('',*,*,#14715,.F.); #19918=ORIENTED_EDGE('',*,*,#14718,.F.); #19919=ORIENTED_EDGE('',*,*,#14721,.F.); #19920=ORIENTED_EDGE('',*,*,#14724,.F.); #19921=ORIENTED_EDGE('',*,*,#14727,.F.); #19922=ORIENTED_EDGE('',*,*,#14730,.F.); #19923=ORIENTED_EDGE('',*,*,#14733,.F.); #19924=ORIENTED_EDGE('',*,*,#14736,.F.); #19925=ORIENTED_EDGE('',*,*,#14739,.F.); #19926=ORIENTED_EDGE('',*,*,#14742,.F.); #19927=ORIENTED_EDGE('',*,*,#14745,.F.); #19928=ORIENTED_EDGE('',*,*,#14748,.F.); #19929=ORIENTED_EDGE('',*,*,#14749,.T.); #19930=ORIENTED_EDGE('',*,*,#14750,.T.); #19931=ORIENTED_EDGE('',*,*,#14751,.F.); #19932=ORIENTED_EDGE('',*,*,#14752,.F.); #19933=ORIENTED_EDGE('',*,*,#14753,.T.); #19934=ORIENTED_EDGE('',*,*,#14754,.T.); #19935=ORIENTED_EDGE('',*,*,#14749,.F.); #19936=ORIENTED_EDGE('',*,*,#14755,.F.); #19937=ORIENTED_EDGE('',*,*,#14756,.T.); #19938=ORIENTED_EDGE('',*,*,#14757,.T.); #19939=ORIENTED_EDGE('',*,*,#14753,.F.); #19940=ORIENTED_EDGE('',*,*,#14758,.F.); #19941=ORIENTED_EDGE('',*,*,#14759,.T.); #19942=ORIENTED_EDGE('',*,*,#14760,.T.); #19943=ORIENTED_EDGE('',*,*,#14756,.F.); #19944=ORIENTED_EDGE('',*,*,#14761,.F.); #19945=ORIENTED_EDGE('',*,*,#14762,.T.); #19946=ORIENTED_EDGE('',*,*,#14763,.T.); #19947=ORIENTED_EDGE('',*,*,#14759,.F.); #19948=ORIENTED_EDGE('',*,*,#14764,.F.); #19949=ORIENTED_EDGE('',*,*,#14765,.T.); #19950=ORIENTED_EDGE('',*,*,#14766,.T.); #19951=ORIENTED_EDGE('',*,*,#14762,.F.); #19952=ORIENTED_EDGE('',*,*,#14767,.F.); #19953=ORIENTED_EDGE('',*,*,#14768,.T.); #19954=ORIENTED_EDGE('',*,*,#14769,.T.); #19955=ORIENTED_EDGE('',*,*,#14765,.F.); #19956=ORIENTED_EDGE('',*,*,#14770,.F.); #19957=ORIENTED_EDGE('',*,*,#14771,.T.); #19958=ORIENTED_EDGE('',*,*,#14772,.T.); #19959=ORIENTED_EDGE('',*,*,#14768,.F.); #19960=ORIENTED_EDGE('',*,*,#14773,.F.); #19961=ORIENTED_EDGE('',*,*,#14774,.T.); #19962=ORIENTED_EDGE('',*,*,#14775,.T.); #19963=ORIENTED_EDGE('',*,*,#14771,.F.); #19964=ORIENTED_EDGE('',*,*,#14776,.F.); #19965=ORIENTED_EDGE('',*,*,#14777,.T.); #19966=ORIENTED_EDGE('',*,*,#14778,.T.); #19967=ORIENTED_EDGE('',*,*,#14774,.F.); #19968=ORIENTED_EDGE('',*,*,#14779,.F.); #19969=ORIENTED_EDGE('',*,*,#14780,.T.); #19970=ORIENTED_EDGE('',*,*,#14781,.T.); #19971=ORIENTED_EDGE('',*,*,#14777,.F.); #19972=ORIENTED_EDGE('',*,*,#14782,.F.); #19973=ORIENTED_EDGE('',*,*,#14783,.T.); #19974=ORIENTED_EDGE('',*,*,#14784,.T.); #19975=ORIENTED_EDGE('',*,*,#14780,.F.); #19976=ORIENTED_EDGE('',*,*,#14785,.F.); #19977=ORIENTED_EDGE('',*,*,#14786,.T.); #19978=ORIENTED_EDGE('',*,*,#14787,.T.); #19979=ORIENTED_EDGE('',*,*,#14783,.F.); #19980=ORIENTED_EDGE('',*,*,#14788,.F.); #19981=ORIENTED_EDGE('',*,*,#14789,.T.); #19982=ORIENTED_EDGE('',*,*,#14790,.T.); #19983=ORIENTED_EDGE('',*,*,#14786,.F.); #19984=ORIENTED_EDGE('',*,*,#14791,.F.); #19985=ORIENTED_EDGE('',*,*,#14792,.T.); #19986=ORIENTED_EDGE('',*,*,#14793,.T.); #19987=ORIENTED_EDGE('',*,*,#14789,.F.); #19988=ORIENTED_EDGE('',*,*,#14794,.F.); #19989=ORIENTED_EDGE('',*,*,#14795,.T.); #19990=ORIENTED_EDGE('',*,*,#14796,.T.); #19991=ORIENTED_EDGE('',*,*,#14792,.F.); #19992=ORIENTED_EDGE('',*,*,#14797,.F.); #19993=ORIENTED_EDGE('',*,*,#14798,.T.); #19994=ORIENTED_EDGE('',*,*,#14799,.T.); #19995=ORIENTED_EDGE('',*,*,#14795,.F.); #19996=ORIENTED_EDGE('',*,*,#14800,.F.); #19997=ORIENTED_EDGE('',*,*,#14801,.T.); #19998=ORIENTED_EDGE('',*,*,#14802,.T.); #19999=ORIENTED_EDGE('',*,*,#14798,.F.); #20000=ORIENTED_EDGE('',*,*,#14803,.F.); #20001=ORIENTED_EDGE('',*,*,#14804,.T.); #20002=ORIENTED_EDGE('',*,*,#14805,.T.); #20003=ORIENTED_EDGE('',*,*,#14801,.F.); #20004=ORIENTED_EDGE('',*,*,#14806,.F.); #20005=ORIENTED_EDGE('',*,*,#14807,.T.); #20006=ORIENTED_EDGE('',*,*,#14808,.T.); #20007=ORIENTED_EDGE('',*,*,#14804,.F.); #20008=ORIENTED_EDGE('',*,*,#14809,.F.); #20009=ORIENTED_EDGE('',*,*,#14810,.T.); #20010=ORIENTED_EDGE('',*,*,#14811,.T.); #20011=ORIENTED_EDGE('',*,*,#14807,.F.); #20012=ORIENTED_EDGE('',*,*,#14812,.F.); #20013=ORIENTED_EDGE('',*,*,#14813,.T.); #20014=ORIENTED_EDGE('',*,*,#14814,.T.); #20015=ORIENTED_EDGE('',*,*,#14810,.F.); #20016=ORIENTED_EDGE('',*,*,#14815,.F.); #20017=ORIENTED_EDGE('',*,*,#14816,.T.); #20018=ORIENTED_EDGE('',*,*,#14817,.T.); #20019=ORIENTED_EDGE('',*,*,#14813,.F.); #20020=ORIENTED_EDGE('',*,*,#14818,.F.); #20021=ORIENTED_EDGE('',*,*,#14819,.T.); #20022=ORIENTED_EDGE('',*,*,#14820,.T.); #20023=ORIENTED_EDGE('',*,*,#14816,.F.); #20024=ORIENTED_EDGE('',*,*,#14821,.F.); #20025=ORIENTED_EDGE('',*,*,#14822,.T.); #20026=ORIENTED_EDGE('',*,*,#14823,.T.); #20027=ORIENTED_EDGE('',*,*,#14819,.F.); #20028=ORIENTED_EDGE('',*,*,#14824,.F.); #20029=ORIENTED_EDGE('',*,*,#14825,.T.); #20030=ORIENTED_EDGE('',*,*,#14826,.T.); #20031=ORIENTED_EDGE('',*,*,#14822,.F.); #20032=ORIENTED_EDGE('',*,*,#14827,.F.); #20033=ORIENTED_EDGE('',*,*,#14828,.T.); #20034=ORIENTED_EDGE('',*,*,#14829,.T.); #20035=ORIENTED_EDGE('',*,*,#14825,.F.); #20036=ORIENTED_EDGE('',*,*,#14830,.F.); #20037=ORIENTED_EDGE('',*,*,#14831,.T.); #20038=ORIENTED_EDGE('',*,*,#14832,.T.); #20039=ORIENTED_EDGE('',*,*,#14828,.F.); #20040=ORIENTED_EDGE('',*,*,#14833,.F.); #20041=ORIENTED_EDGE('',*,*,#14834,.T.); #20042=ORIENTED_EDGE('',*,*,#14835,.T.); #20043=ORIENTED_EDGE('',*,*,#14831,.F.); #20044=ORIENTED_EDGE('',*,*,#14836,.F.); #20045=ORIENTED_EDGE('',*,*,#14837,.T.); #20046=ORIENTED_EDGE('',*,*,#14838,.T.); #20047=ORIENTED_EDGE('',*,*,#14834,.F.); #20048=ORIENTED_EDGE('',*,*,#14839,.F.); #20049=ORIENTED_EDGE('',*,*,#14840,.T.); #20050=ORIENTED_EDGE('',*,*,#14841,.T.); #20051=ORIENTED_EDGE('',*,*,#14837,.F.); #20052=ORIENTED_EDGE('',*,*,#14842,.F.); #20053=ORIENTED_EDGE('',*,*,#14843,.T.); #20054=ORIENTED_EDGE('',*,*,#14844,.T.); #20055=ORIENTED_EDGE('',*,*,#14840,.F.); #20056=ORIENTED_EDGE('',*,*,#14845,.F.); #20057=ORIENTED_EDGE('',*,*,#14751,.T.); #20058=ORIENTED_EDGE('',*,*,#14846,.T.); #20059=ORIENTED_EDGE('',*,*,#14843,.F.); #20060=ORIENTED_EDGE('',*,*,#14846,.F.); #20061=ORIENTED_EDGE('',*,*,#14750,.F.); #20062=ORIENTED_EDGE('',*,*,#14754,.F.); #20063=ORIENTED_EDGE('',*,*,#14757,.F.); #20064=ORIENTED_EDGE('',*,*,#14760,.F.); #20065=ORIENTED_EDGE('',*,*,#14763,.F.); #20066=ORIENTED_EDGE('',*,*,#14766,.F.); #20067=ORIENTED_EDGE('',*,*,#14769,.F.); #20068=ORIENTED_EDGE('',*,*,#14772,.F.); #20069=ORIENTED_EDGE('',*,*,#14775,.F.); #20070=ORIENTED_EDGE('',*,*,#14778,.F.); #20071=ORIENTED_EDGE('',*,*,#14781,.F.); #20072=ORIENTED_EDGE('',*,*,#14784,.F.); #20073=ORIENTED_EDGE('',*,*,#14787,.F.); #20074=ORIENTED_EDGE('',*,*,#14790,.F.); #20075=ORIENTED_EDGE('',*,*,#14793,.F.); #20076=ORIENTED_EDGE('',*,*,#14796,.F.); #20077=ORIENTED_EDGE('',*,*,#14799,.F.); #20078=ORIENTED_EDGE('',*,*,#14802,.F.); #20079=ORIENTED_EDGE('',*,*,#14805,.F.); #20080=ORIENTED_EDGE('',*,*,#14808,.F.); #20081=ORIENTED_EDGE('',*,*,#14811,.F.); #20082=ORIENTED_EDGE('',*,*,#14814,.F.); #20083=ORIENTED_EDGE('',*,*,#14817,.F.); #20084=ORIENTED_EDGE('',*,*,#14820,.F.); #20085=ORIENTED_EDGE('',*,*,#14823,.F.); #20086=ORIENTED_EDGE('',*,*,#14826,.F.); #20087=ORIENTED_EDGE('',*,*,#14829,.F.); #20088=ORIENTED_EDGE('',*,*,#14832,.F.); #20089=ORIENTED_EDGE('',*,*,#14835,.F.); #20090=ORIENTED_EDGE('',*,*,#14838,.F.); #20091=ORIENTED_EDGE('',*,*,#14841,.F.); #20092=ORIENTED_EDGE('',*,*,#14844,.F.); #20093=ORIENTED_EDGE('',*,*,#14847,.F.); #20094=ORIENTED_EDGE('',*,*,#14848,.T.); #20095=ORIENTED_EDGE('',*,*,#14849,.T.); #20096=ORIENTED_EDGE('',*,*,#14850,.F.); #20097=ORIENTED_EDGE('',*,*,#14851,.F.); #20098=ORIENTED_EDGE('',*,*,#14852,.T.); #20099=ORIENTED_EDGE('',*,*,#14853,.T.); #20100=ORIENTED_EDGE('',*,*,#14848,.F.); #20101=ORIENTED_EDGE('',*,*,#14854,.F.); #20102=ORIENTED_EDGE('',*,*,#14855,.T.); #20103=ORIENTED_EDGE('',*,*,#14856,.T.); #20104=ORIENTED_EDGE('',*,*,#14852,.F.); #20105=ORIENTED_EDGE('',*,*,#14857,.F.); #20106=ORIENTED_EDGE('',*,*,#14858,.T.); #20107=ORIENTED_EDGE('',*,*,#14859,.T.); #20108=ORIENTED_EDGE('',*,*,#14855,.F.); #20109=ORIENTED_EDGE('',*,*,#14860,.F.); #20110=ORIENTED_EDGE('',*,*,#14861,.T.); #20111=ORIENTED_EDGE('',*,*,#14862,.T.); #20112=ORIENTED_EDGE('',*,*,#14858,.F.); #20113=ORIENTED_EDGE('',*,*,#14863,.F.); #20114=ORIENTED_EDGE('',*,*,#14864,.T.); #20115=ORIENTED_EDGE('',*,*,#14865,.T.); #20116=ORIENTED_EDGE('',*,*,#14861,.F.); #20117=ORIENTED_EDGE('',*,*,#14866,.F.); #20118=ORIENTED_EDGE('',*,*,#14867,.T.); #20119=ORIENTED_EDGE('',*,*,#14868,.T.); #20120=ORIENTED_EDGE('',*,*,#14864,.F.); #20121=ORIENTED_EDGE('',*,*,#14869,.F.); #20122=ORIENTED_EDGE('',*,*,#14870,.T.); #20123=ORIENTED_EDGE('',*,*,#14871,.T.); #20124=ORIENTED_EDGE('',*,*,#14867,.F.); #20125=ORIENTED_EDGE('',*,*,#14872,.F.); #20126=ORIENTED_EDGE('',*,*,#14873,.T.); #20127=ORIENTED_EDGE('',*,*,#14874,.T.); #20128=ORIENTED_EDGE('',*,*,#14870,.F.); #20129=ORIENTED_EDGE('',*,*,#14875,.F.); #20130=ORIENTED_EDGE('',*,*,#14876,.T.); #20131=ORIENTED_EDGE('',*,*,#14877,.T.); #20132=ORIENTED_EDGE('',*,*,#14873,.F.); #20133=ORIENTED_EDGE('',*,*,#14878,.F.); #20134=ORIENTED_EDGE('',*,*,#14879,.T.); #20135=ORIENTED_EDGE('',*,*,#14880,.T.); #20136=ORIENTED_EDGE('',*,*,#14876,.F.); #20137=ORIENTED_EDGE('',*,*,#14881,.F.); #20138=ORIENTED_EDGE('',*,*,#14882,.T.); #20139=ORIENTED_EDGE('',*,*,#14883,.T.); #20140=ORIENTED_EDGE('',*,*,#14879,.F.); #20141=ORIENTED_EDGE('',*,*,#14884,.F.); #20142=ORIENTED_EDGE('',*,*,#14885,.T.); #20143=ORIENTED_EDGE('',*,*,#14886,.T.); #20144=ORIENTED_EDGE('',*,*,#14882,.F.); #20145=ORIENTED_EDGE('',*,*,#14887,.F.); #20146=ORIENTED_EDGE('',*,*,#14888,.T.); #20147=ORIENTED_EDGE('',*,*,#14889,.T.); #20148=ORIENTED_EDGE('',*,*,#14885,.F.); #20149=ORIENTED_EDGE('',*,*,#14890,.F.); #20150=ORIENTED_EDGE('',*,*,#14891,.T.); #20151=ORIENTED_EDGE('',*,*,#14892,.T.); #20152=ORIENTED_EDGE('',*,*,#14888,.F.); #20153=ORIENTED_EDGE('',*,*,#14893,.F.); #20154=ORIENTED_EDGE('',*,*,#14894,.T.); #20155=ORIENTED_EDGE('',*,*,#14895,.T.); #20156=ORIENTED_EDGE('',*,*,#14891,.F.); #20157=ORIENTED_EDGE('',*,*,#14896,.F.); #20158=ORIENTED_EDGE('',*,*,#14897,.T.); #20159=ORIENTED_EDGE('',*,*,#14898,.T.); #20160=ORIENTED_EDGE('',*,*,#14894,.F.); #20161=ORIENTED_EDGE('',*,*,#14899,.F.); #20162=ORIENTED_EDGE('',*,*,#14900,.T.); #20163=ORIENTED_EDGE('',*,*,#14901,.T.); #20164=ORIENTED_EDGE('',*,*,#14897,.F.); #20165=ORIENTED_EDGE('',*,*,#14902,.F.); #20166=ORIENTED_EDGE('',*,*,#14903,.T.); #20167=ORIENTED_EDGE('',*,*,#14904,.T.); #20168=ORIENTED_EDGE('',*,*,#14900,.F.); #20169=ORIENTED_EDGE('',*,*,#14905,.F.); #20170=ORIENTED_EDGE('',*,*,#14906,.T.); #20171=ORIENTED_EDGE('',*,*,#14907,.T.); #20172=ORIENTED_EDGE('',*,*,#14903,.F.); #20173=ORIENTED_EDGE('',*,*,#14908,.F.); #20174=ORIENTED_EDGE('',*,*,#14909,.T.); #20175=ORIENTED_EDGE('',*,*,#14910,.T.); #20176=ORIENTED_EDGE('',*,*,#14906,.F.); #20177=ORIENTED_EDGE('',*,*,#14911,.F.); #20178=ORIENTED_EDGE('',*,*,#14912,.T.); #20179=ORIENTED_EDGE('',*,*,#14913,.T.); #20180=ORIENTED_EDGE('',*,*,#14909,.F.); #20181=ORIENTED_EDGE('',*,*,#14914,.F.); #20182=ORIENTED_EDGE('',*,*,#14915,.T.); #20183=ORIENTED_EDGE('',*,*,#14916,.T.); #20184=ORIENTED_EDGE('',*,*,#14912,.F.); #20185=ORIENTED_EDGE('',*,*,#14917,.F.); #20186=ORIENTED_EDGE('',*,*,#14918,.T.); #20187=ORIENTED_EDGE('',*,*,#14919,.T.); #20188=ORIENTED_EDGE('',*,*,#14915,.F.); #20189=ORIENTED_EDGE('',*,*,#14920,.F.); #20190=ORIENTED_EDGE('',*,*,#14921,.T.); #20191=ORIENTED_EDGE('',*,*,#14922,.T.); #20192=ORIENTED_EDGE('',*,*,#14918,.F.); #20193=ORIENTED_EDGE('',*,*,#14923,.F.); #20194=ORIENTED_EDGE('',*,*,#14924,.T.); #20195=ORIENTED_EDGE('',*,*,#14925,.T.); #20196=ORIENTED_EDGE('',*,*,#14921,.F.); #20197=ORIENTED_EDGE('',*,*,#14926,.F.); #20198=ORIENTED_EDGE('',*,*,#14927,.T.); #20199=ORIENTED_EDGE('',*,*,#14928,.T.); #20200=ORIENTED_EDGE('',*,*,#14924,.F.); #20201=ORIENTED_EDGE('',*,*,#14929,.F.); #20202=ORIENTED_EDGE('',*,*,#14930,.T.); #20203=ORIENTED_EDGE('',*,*,#14931,.T.); #20204=ORIENTED_EDGE('',*,*,#14927,.F.); #20205=ORIENTED_EDGE('',*,*,#14932,.F.); #20206=ORIENTED_EDGE('',*,*,#14933,.T.); #20207=ORIENTED_EDGE('',*,*,#14934,.T.); #20208=ORIENTED_EDGE('',*,*,#14930,.F.); #20209=ORIENTED_EDGE('',*,*,#14935,.F.); #20210=ORIENTED_EDGE('',*,*,#14936,.T.); #20211=ORIENTED_EDGE('',*,*,#14937,.T.); #20212=ORIENTED_EDGE('',*,*,#14933,.F.); #20213=ORIENTED_EDGE('',*,*,#14938,.F.); #20214=ORIENTED_EDGE('',*,*,#14939,.T.); #20215=ORIENTED_EDGE('',*,*,#14940,.T.); #20216=ORIENTED_EDGE('',*,*,#14936,.F.); #20217=ORIENTED_EDGE('',*,*,#14941,.F.); #20218=ORIENTED_EDGE('',*,*,#14942,.T.); #20219=ORIENTED_EDGE('',*,*,#14943,.T.); #20220=ORIENTED_EDGE('',*,*,#14939,.F.); #20221=ORIENTED_EDGE('',*,*,#14944,.F.); #20222=ORIENTED_EDGE('',*,*,#14945,.T.); #20223=ORIENTED_EDGE('',*,*,#14946,.T.); #20224=ORIENTED_EDGE('',*,*,#14942,.F.); #20225=ORIENTED_EDGE('',*,*,#14947,.F.); #20226=ORIENTED_EDGE('',*,*,#14948,.T.); #20227=ORIENTED_EDGE('',*,*,#14949,.T.); #20228=ORIENTED_EDGE('',*,*,#14945,.F.); #20229=ORIENTED_EDGE('',*,*,#14950,.F.); #20230=ORIENTED_EDGE('',*,*,#14951,.T.); #20231=ORIENTED_EDGE('',*,*,#14952,.T.); #20232=ORIENTED_EDGE('',*,*,#14948,.F.); #20233=ORIENTED_EDGE('',*,*,#14953,.F.); #20234=ORIENTED_EDGE('',*,*,#14954,.T.); #20235=ORIENTED_EDGE('',*,*,#14955,.T.); #20236=ORIENTED_EDGE('',*,*,#14951,.F.); #20237=ORIENTED_EDGE('',*,*,#14956,.F.); #20238=ORIENTED_EDGE('',*,*,#14957,.T.); #20239=ORIENTED_EDGE('',*,*,#14958,.T.); #20240=ORIENTED_EDGE('',*,*,#14954,.F.); #20241=ORIENTED_EDGE('',*,*,#14959,.F.); #20242=ORIENTED_EDGE('',*,*,#14960,.T.); #20243=ORIENTED_EDGE('',*,*,#14961,.T.); #20244=ORIENTED_EDGE('',*,*,#14957,.F.); #20245=ORIENTED_EDGE('',*,*,#14962,.F.); #20246=ORIENTED_EDGE('',*,*,#14963,.T.); #20247=ORIENTED_EDGE('',*,*,#14964,.T.); #20248=ORIENTED_EDGE('',*,*,#14960,.F.); #20249=ORIENTED_EDGE('',*,*,#14965,.F.); #20250=ORIENTED_EDGE('',*,*,#14966,.T.); #20251=ORIENTED_EDGE('',*,*,#14967,.T.); #20252=ORIENTED_EDGE('',*,*,#14963,.F.); #20253=ORIENTED_EDGE('',*,*,#14968,.F.); #20254=ORIENTED_EDGE('',*,*,#14969,.T.); #20255=ORIENTED_EDGE('',*,*,#14970,.T.); #20256=ORIENTED_EDGE('',*,*,#14966,.F.); #20257=ORIENTED_EDGE('',*,*,#14971,.F.); #20258=ORIENTED_EDGE('',*,*,#14972,.T.); #20259=ORIENTED_EDGE('',*,*,#14973,.T.); #20260=ORIENTED_EDGE('',*,*,#14969,.F.); #20261=ORIENTED_EDGE('',*,*,#14974,.F.); #20262=ORIENTED_EDGE('',*,*,#14975,.T.); #20263=ORIENTED_EDGE('',*,*,#14976,.T.); #20264=ORIENTED_EDGE('',*,*,#14972,.F.); #20265=ORIENTED_EDGE('',*,*,#14977,.F.); #20266=ORIENTED_EDGE('',*,*,#14978,.T.); #20267=ORIENTED_EDGE('',*,*,#14979,.T.); #20268=ORIENTED_EDGE('',*,*,#14975,.F.); #20269=ORIENTED_EDGE('',*,*,#14980,.F.); #20270=ORIENTED_EDGE('',*,*,#14981,.T.); #20271=ORIENTED_EDGE('',*,*,#14982,.T.); #20272=ORIENTED_EDGE('',*,*,#14978,.F.); #20273=ORIENTED_EDGE('',*,*,#14983,.F.); #20274=ORIENTED_EDGE('',*,*,#14984,.T.); #20275=ORIENTED_EDGE('',*,*,#14985,.T.); #20276=ORIENTED_EDGE('',*,*,#14981,.F.); #20277=ORIENTED_EDGE('',*,*,#14986,.F.); #20278=ORIENTED_EDGE('',*,*,#14987,.T.); #20279=ORIENTED_EDGE('',*,*,#14988,.T.); #20280=ORIENTED_EDGE('',*,*,#14984,.F.); #20281=ORIENTED_EDGE('',*,*,#14989,.F.); #20282=ORIENTED_EDGE('',*,*,#14990,.T.); #20283=ORIENTED_EDGE('',*,*,#14991,.T.); #20284=ORIENTED_EDGE('',*,*,#14987,.F.); #20285=ORIENTED_EDGE('',*,*,#14992,.F.); #20286=ORIENTED_EDGE('',*,*,#14993,.T.); #20287=ORIENTED_EDGE('',*,*,#14994,.T.); #20288=ORIENTED_EDGE('',*,*,#14990,.F.); #20289=ORIENTED_EDGE('',*,*,#14995,.F.); #20290=ORIENTED_EDGE('',*,*,#14996,.T.); #20291=ORIENTED_EDGE('',*,*,#14997,.T.); #20292=ORIENTED_EDGE('',*,*,#14993,.F.); #20293=ORIENTED_EDGE('',*,*,#14998,.F.); #20294=ORIENTED_EDGE('',*,*,#14999,.T.); #20295=ORIENTED_EDGE('',*,*,#15000,.T.); #20296=ORIENTED_EDGE('',*,*,#14996,.F.); #20297=ORIENTED_EDGE('',*,*,#15001,.F.); #20298=ORIENTED_EDGE('',*,*,#15002,.T.); #20299=ORIENTED_EDGE('',*,*,#15003,.T.); #20300=ORIENTED_EDGE('',*,*,#14999,.F.); #20301=ORIENTED_EDGE('',*,*,#15004,.F.); #20302=ORIENTED_EDGE('',*,*,#15005,.T.); #20303=ORIENTED_EDGE('',*,*,#15006,.T.); #20304=ORIENTED_EDGE('',*,*,#15002,.F.); #20305=ORIENTED_EDGE('',*,*,#15007,.F.); #20306=ORIENTED_EDGE('',*,*,#15008,.T.); #20307=ORIENTED_EDGE('',*,*,#15009,.T.); #20308=ORIENTED_EDGE('',*,*,#15005,.F.); #20309=ORIENTED_EDGE('',*,*,#15010,.F.); #20310=ORIENTED_EDGE('',*,*,#15011,.T.); #20311=ORIENTED_EDGE('',*,*,#15012,.T.); #20312=ORIENTED_EDGE('',*,*,#15008,.F.); #20313=ORIENTED_EDGE('',*,*,#15013,.F.); #20314=ORIENTED_EDGE('',*,*,#15014,.T.); #20315=ORIENTED_EDGE('',*,*,#15015,.T.); #20316=ORIENTED_EDGE('',*,*,#15011,.F.); #20317=ORIENTED_EDGE('',*,*,#15016,.F.); #20318=ORIENTED_EDGE('',*,*,#15017,.T.); #20319=ORIENTED_EDGE('',*,*,#15018,.T.); #20320=ORIENTED_EDGE('',*,*,#15014,.F.); #20321=ORIENTED_EDGE('',*,*,#15019,.F.); #20322=ORIENTED_EDGE('',*,*,#15020,.T.); #20323=ORIENTED_EDGE('',*,*,#15021,.T.); #20324=ORIENTED_EDGE('',*,*,#15017,.F.); #20325=ORIENTED_EDGE('',*,*,#15022,.F.); #20326=ORIENTED_EDGE('',*,*,#15023,.T.); #20327=ORIENTED_EDGE('',*,*,#15024,.T.); #20328=ORIENTED_EDGE('',*,*,#15020,.F.); #20329=ORIENTED_EDGE('',*,*,#15025,.F.); #20330=ORIENTED_EDGE('',*,*,#15026,.T.); #20331=ORIENTED_EDGE('',*,*,#15027,.T.); #20332=ORIENTED_EDGE('',*,*,#15023,.F.); #20333=ORIENTED_EDGE('',*,*,#15028,.F.); #20334=ORIENTED_EDGE('',*,*,#15029,.T.); #20335=ORIENTED_EDGE('',*,*,#15030,.T.); #20336=ORIENTED_EDGE('',*,*,#15026,.F.); #20337=ORIENTED_EDGE('',*,*,#15031,.F.); #20338=ORIENTED_EDGE('',*,*,#15032,.T.); #20339=ORIENTED_EDGE('',*,*,#15033,.T.); #20340=ORIENTED_EDGE('',*,*,#15029,.F.); #20341=ORIENTED_EDGE('',*,*,#15034,.F.); #20342=ORIENTED_EDGE('',*,*,#15035,.T.); #20343=ORIENTED_EDGE('',*,*,#15036,.T.); #20344=ORIENTED_EDGE('',*,*,#15032,.F.); #20345=ORIENTED_EDGE('',*,*,#15037,.F.); #20346=ORIENTED_EDGE('',*,*,#15038,.T.); #20347=ORIENTED_EDGE('',*,*,#15039,.T.); #20348=ORIENTED_EDGE('',*,*,#15035,.F.); #20349=ORIENTED_EDGE('',*,*,#15040,.F.); #20350=ORIENTED_EDGE('',*,*,#15041,.T.); #20351=ORIENTED_EDGE('',*,*,#15042,.T.); #20352=ORIENTED_EDGE('',*,*,#15038,.F.); #20353=ORIENTED_EDGE('',*,*,#15043,.F.); #20354=ORIENTED_EDGE('',*,*,#15044,.T.); #20355=ORIENTED_EDGE('',*,*,#15045,.T.); #20356=ORIENTED_EDGE('',*,*,#15041,.F.); #20357=ORIENTED_EDGE('',*,*,#15046,.F.); #20358=ORIENTED_EDGE('',*,*,#15047,.T.); #20359=ORIENTED_EDGE('',*,*,#15048,.T.); #20360=ORIENTED_EDGE('',*,*,#15044,.F.); #20361=ORIENTED_EDGE('',*,*,#15049,.F.); #20362=ORIENTED_EDGE('',*,*,#15050,.T.); #20363=ORIENTED_EDGE('',*,*,#15051,.T.); #20364=ORIENTED_EDGE('',*,*,#15047,.F.); #20365=ORIENTED_EDGE('',*,*,#15052,.F.); #20366=ORIENTED_EDGE('',*,*,#15053,.T.); #20367=ORIENTED_EDGE('',*,*,#15054,.T.); #20368=ORIENTED_EDGE('',*,*,#15050,.F.); #20369=ORIENTED_EDGE('',*,*,#15055,.F.); #20370=ORIENTED_EDGE('',*,*,#15056,.T.); #20371=ORIENTED_EDGE('',*,*,#15057,.T.); #20372=ORIENTED_EDGE('',*,*,#15053,.F.); #20373=ORIENTED_EDGE('',*,*,#15058,.F.); #20374=ORIENTED_EDGE('',*,*,#15059,.T.); #20375=ORIENTED_EDGE('',*,*,#15060,.T.); #20376=ORIENTED_EDGE('',*,*,#15056,.F.); #20377=ORIENTED_EDGE('',*,*,#15061,.F.); #20378=ORIENTED_EDGE('',*,*,#15062,.T.); #20379=ORIENTED_EDGE('',*,*,#15063,.T.); #20380=ORIENTED_EDGE('',*,*,#15059,.F.); #20381=ORIENTED_EDGE('',*,*,#15064,.F.); #20382=ORIENTED_EDGE('',*,*,#15065,.T.); #20383=ORIENTED_EDGE('',*,*,#15066,.T.); #20384=ORIENTED_EDGE('',*,*,#15062,.F.); #20385=ORIENTED_EDGE('',*,*,#15067,.F.); #20386=ORIENTED_EDGE('',*,*,#15068,.T.); #20387=ORIENTED_EDGE('',*,*,#15069,.T.); #20388=ORIENTED_EDGE('',*,*,#15065,.F.); #20389=ORIENTED_EDGE('',*,*,#15070,.F.); #20390=ORIENTED_EDGE('',*,*,#15071,.T.); #20391=ORIENTED_EDGE('',*,*,#15072,.T.); #20392=ORIENTED_EDGE('',*,*,#15068,.F.); #20393=ORIENTED_EDGE('',*,*,#15073,.F.); #20394=ORIENTED_EDGE('',*,*,#15074,.T.); #20395=ORIENTED_EDGE('',*,*,#15075,.T.); #20396=ORIENTED_EDGE('',*,*,#15071,.F.); #20397=ORIENTED_EDGE('',*,*,#15076,.F.); #20398=ORIENTED_EDGE('',*,*,#15077,.T.); #20399=ORIENTED_EDGE('',*,*,#15078,.T.); #20400=ORIENTED_EDGE('',*,*,#15074,.F.); #20401=ORIENTED_EDGE('',*,*,#15079,.F.); #20402=ORIENTED_EDGE('',*,*,#15080,.T.); #20403=ORIENTED_EDGE('',*,*,#15081,.T.); #20404=ORIENTED_EDGE('',*,*,#15077,.F.); #20405=ORIENTED_EDGE('',*,*,#15082,.F.); #20406=ORIENTED_EDGE('',*,*,#15083,.T.); #20407=ORIENTED_EDGE('',*,*,#15084,.T.); #20408=ORIENTED_EDGE('',*,*,#15080,.F.); #20409=ORIENTED_EDGE('',*,*,#15085,.F.); #20410=ORIENTED_EDGE('',*,*,#15086,.T.); #20411=ORIENTED_EDGE('',*,*,#15087,.T.); #20412=ORIENTED_EDGE('',*,*,#15083,.F.); #20413=ORIENTED_EDGE('',*,*,#15088,.F.); #20414=ORIENTED_EDGE('',*,*,#15089,.T.); #20415=ORIENTED_EDGE('',*,*,#15090,.T.); #20416=ORIENTED_EDGE('',*,*,#15086,.F.); #20417=ORIENTED_EDGE('',*,*,#15091,.F.); #20418=ORIENTED_EDGE('',*,*,#15092,.T.); #20419=ORIENTED_EDGE('',*,*,#15093,.T.); #20420=ORIENTED_EDGE('',*,*,#15089,.F.); #20421=ORIENTED_EDGE('',*,*,#15094,.F.); #20422=ORIENTED_EDGE('',*,*,#15095,.T.); #20423=ORIENTED_EDGE('',*,*,#15096,.T.); #20424=ORIENTED_EDGE('',*,*,#15092,.F.); #20425=ORIENTED_EDGE('',*,*,#15097,.F.); #20426=ORIENTED_EDGE('',*,*,#14850,.T.); #20427=ORIENTED_EDGE('',*,*,#15098,.T.); #20428=ORIENTED_EDGE('',*,*,#15095,.F.); #20429=ORIENTED_EDGE('',*,*,#15098,.F.); #20430=ORIENTED_EDGE('',*,*,#14849,.F.); #20431=ORIENTED_EDGE('',*,*,#14853,.F.); #20432=ORIENTED_EDGE('',*,*,#14856,.F.); #20433=ORIENTED_EDGE('',*,*,#14859,.F.); #20434=ORIENTED_EDGE('',*,*,#14862,.F.); #20435=ORIENTED_EDGE('',*,*,#14865,.F.); #20436=ORIENTED_EDGE('',*,*,#14868,.F.); #20437=ORIENTED_EDGE('',*,*,#14871,.F.); #20438=ORIENTED_EDGE('',*,*,#14874,.F.); #20439=ORIENTED_EDGE('',*,*,#14877,.F.); #20440=ORIENTED_EDGE('',*,*,#14880,.F.); #20441=ORIENTED_EDGE('',*,*,#14883,.F.); #20442=ORIENTED_EDGE('',*,*,#14886,.F.); #20443=ORIENTED_EDGE('',*,*,#14889,.F.); #20444=ORIENTED_EDGE('',*,*,#14892,.F.); #20445=ORIENTED_EDGE('',*,*,#14895,.F.); #20446=ORIENTED_EDGE('',*,*,#14898,.F.); #20447=ORIENTED_EDGE('',*,*,#14901,.F.); #20448=ORIENTED_EDGE('',*,*,#14904,.F.); #20449=ORIENTED_EDGE('',*,*,#14907,.F.); #20450=ORIENTED_EDGE('',*,*,#14910,.F.); #20451=ORIENTED_EDGE('',*,*,#14913,.F.); #20452=ORIENTED_EDGE('',*,*,#14916,.F.); #20453=ORIENTED_EDGE('',*,*,#14919,.F.); #20454=ORIENTED_EDGE('',*,*,#14922,.F.); #20455=ORIENTED_EDGE('',*,*,#14925,.F.); #20456=ORIENTED_EDGE('',*,*,#14928,.F.); #20457=ORIENTED_EDGE('',*,*,#14931,.F.); #20458=ORIENTED_EDGE('',*,*,#14934,.F.); #20459=ORIENTED_EDGE('',*,*,#14937,.F.); #20460=ORIENTED_EDGE('',*,*,#14940,.F.); #20461=ORIENTED_EDGE('',*,*,#14943,.F.); #20462=ORIENTED_EDGE('',*,*,#14946,.F.); #20463=ORIENTED_EDGE('',*,*,#14949,.F.); #20464=ORIENTED_EDGE('',*,*,#14952,.F.); #20465=ORIENTED_EDGE('',*,*,#14955,.F.); #20466=ORIENTED_EDGE('',*,*,#14958,.F.); #20467=ORIENTED_EDGE('',*,*,#14961,.F.); #20468=ORIENTED_EDGE('',*,*,#14964,.F.); #20469=ORIENTED_EDGE('',*,*,#14967,.F.); #20470=ORIENTED_EDGE('',*,*,#14970,.F.); #20471=ORIENTED_EDGE('',*,*,#14973,.F.); #20472=ORIENTED_EDGE('',*,*,#14976,.F.); #20473=ORIENTED_EDGE('',*,*,#14979,.F.); #20474=ORIENTED_EDGE('',*,*,#14982,.F.); #20475=ORIENTED_EDGE('',*,*,#14985,.F.); #20476=ORIENTED_EDGE('',*,*,#14988,.F.); #20477=ORIENTED_EDGE('',*,*,#14991,.F.); #20478=ORIENTED_EDGE('',*,*,#14994,.F.); #20479=ORIENTED_EDGE('',*,*,#14997,.F.); #20480=ORIENTED_EDGE('',*,*,#15000,.F.); #20481=ORIENTED_EDGE('',*,*,#15003,.F.); #20482=ORIENTED_EDGE('',*,*,#15006,.F.); #20483=ORIENTED_EDGE('',*,*,#15009,.F.); #20484=ORIENTED_EDGE('',*,*,#15012,.F.); #20485=ORIENTED_EDGE('',*,*,#15015,.F.); #20486=ORIENTED_EDGE('',*,*,#15018,.F.); #20487=ORIENTED_EDGE('',*,*,#15021,.F.); #20488=ORIENTED_EDGE('',*,*,#15024,.F.); #20489=ORIENTED_EDGE('',*,*,#15027,.F.); #20490=ORIENTED_EDGE('',*,*,#15030,.F.); #20491=ORIENTED_EDGE('',*,*,#15033,.F.); #20492=ORIENTED_EDGE('',*,*,#15036,.F.); #20493=ORIENTED_EDGE('',*,*,#15039,.F.); #20494=ORIENTED_EDGE('',*,*,#15042,.F.); #20495=ORIENTED_EDGE('',*,*,#15045,.F.); #20496=ORIENTED_EDGE('',*,*,#15048,.F.); #20497=ORIENTED_EDGE('',*,*,#15051,.F.); #20498=ORIENTED_EDGE('',*,*,#15054,.F.); #20499=ORIENTED_EDGE('',*,*,#15057,.F.); #20500=ORIENTED_EDGE('',*,*,#15060,.F.); #20501=ORIENTED_EDGE('',*,*,#15063,.F.); #20502=ORIENTED_EDGE('',*,*,#15066,.F.); #20503=ORIENTED_EDGE('',*,*,#15069,.F.); #20504=ORIENTED_EDGE('',*,*,#15072,.F.); #20505=ORIENTED_EDGE('',*,*,#15075,.F.); #20506=ORIENTED_EDGE('',*,*,#15078,.F.); #20507=ORIENTED_EDGE('',*,*,#15081,.F.); #20508=ORIENTED_EDGE('',*,*,#15084,.F.); #20509=ORIENTED_EDGE('',*,*,#15087,.F.); #20510=ORIENTED_EDGE('',*,*,#15090,.F.); #20511=ORIENTED_EDGE('',*,*,#15093,.F.); #20512=ORIENTED_EDGE('',*,*,#15096,.F.); #20513=ORIENTED_EDGE('',*,*,#15099,.F.); #20514=ORIENTED_EDGE('',*,*,#15100,.T.); #20515=ORIENTED_EDGE('',*,*,#15101,.T.); #20516=ORIENTED_EDGE('',*,*,#15102,.F.); #20517=ORIENTED_EDGE('',*,*,#15103,.F.); #20518=ORIENTED_EDGE('',*,*,#15104,.T.); #20519=ORIENTED_EDGE('',*,*,#15105,.T.); #20520=ORIENTED_EDGE('',*,*,#15100,.F.); #20521=ORIENTED_EDGE('',*,*,#15106,.F.); #20522=ORIENTED_EDGE('',*,*,#15107,.T.); #20523=ORIENTED_EDGE('',*,*,#15108,.T.); #20524=ORIENTED_EDGE('',*,*,#15104,.F.); #20525=ORIENTED_EDGE('',*,*,#15109,.F.); #20526=ORIENTED_EDGE('',*,*,#15110,.T.); #20527=ORIENTED_EDGE('',*,*,#15111,.T.); #20528=ORIENTED_EDGE('',*,*,#15107,.F.); #20529=ORIENTED_EDGE('',*,*,#15112,.F.); #20530=ORIENTED_EDGE('',*,*,#15113,.T.); #20531=ORIENTED_EDGE('',*,*,#15114,.T.); #20532=ORIENTED_EDGE('',*,*,#15110,.F.); #20533=ORIENTED_EDGE('',*,*,#15115,.F.); #20534=ORIENTED_EDGE('',*,*,#15116,.T.); #20535=ORIENTED_EDGE('',*,*,#15117,.T.); #20536=ORIENTED_EDGE('',*,*,#15113,.F.); #20537=ORIENTED_EDGE('',*,*,#15118,.F.); #20538=ORIENTED_EDGE('',*,*,#15119,.T.); #20539=ORIENTED_EDGE('',*,*,#15120,.T.); #20540=ORIENTED_EDGE('',*,*,#15116,.F.); #20541=ORIENTED_EDGE('',*,*,#15121,.F.); #20542=ORIENTED_EDGE('',*,*,#15122,.T.); #20543=ORIENTED_EDGE('',*,*,#15123,.T.); #20544=ORIENTED_EDGE('',*,*,#15119,.F.); #20545=ORIENTED_EDGE('',*,*,#15124,.F.); #20546=ORIENTED_EDGE('',*,*,#15125,.T.); #20547=ORIENTED_EDGE('',*,*,#15126,.T.); #20548=ORIENTED_EDGE('',*,*,#15122,.F.); #20549=ORIENTED_EDGE('',*,*,#15127,.F.); #20550=ORIENTED_EDGE('',*,*,#15128,.T.); #20551=ORIENTED_EDGE('',*,*,#15129,.T.); #20552=ORIENTED_EDGE('',*,*,#15125,.F.); #20553=ORIENTED_EDGE('',*,*,#15130,.F.); #20554=ORIENTED_EDGE('',*,*,#15131,.T.); #20555=ORIENTED_EDGE('',*,*,#15132,.T.); #20556=ORIENTED_EDGE('',*,*,#15128,.F.); #20557=ORIENTED_EDGE('',*,*,#15133,.F.); #20558=ORIENTED_EDGE('',*,*,#15134,.T.); #20559=ORIENTED_EDGE('',*,*,#15135,.T.); #20560=ORIENTED_EDGE('',*,*,#15131,.F.); #20561=ORIENTED_EDGE('',*,*,#15136,.F.); #20562=ORIENTED_EDGE('',*,*,#15137,.T.); #20563=ORIENTED_EDGE('',*,*,#15138,.T.); #20564=ORIENTED_EDGE('',*,*,#15134,.F.); #20565=ORIENTED_EDGE('',*,*,#15139,.F.); #20566=ORIENTED_EDGE('',*,*,#15140,.T.); #20567=ORIENTED_EDGE('',*,*,#15141,.T.); #20568=ORIENTED_EDGE('',*,*,#15137,.F.); #20569=ORIENTED_EDGE('',*,*,#15142,.F.); #20570=ORIENTED_EDGE('',*,*,#15143,.T.); #20571=ORIENTED_EDGE('',*,*,#15144,.T.); #20572=ORIENTED_EDGE('',*,*,#15140,.F.); #20573=ORIENTED_EDGE('',*,*,#15145,.F.); #20574=ORIENTED_EDGE('',*,*,#15146,.T.); #20575=ORIENTED_EDGE('',*,*,#15147,.T.); #20576=ORIENTED_EDGE('',*,*,#15143,.F.); #20577=ORIENTED_EDGE('',*,*,#15148,.F.); #20578=ORIENTED_EDGE('',*,*,#15149,.T.); #20579=ORIENTED_EDGE('',*,*,#15150,.T.); #20580=ORIENTED_EDGE('',*,*,#15146,.F.); #20581=ORIENTED_EDGE('',*,*,#15151,.F.); #20582=ORIENTED_EDGE('',*,*,#15152,.T.); #20583=ORIENTED_EDGE('',*,*,#15153,.T.); #20584=ORIENTED_EDGE('',*,*,#15149,.F.); #20585=ORIENTED_EDGE('',*,*,#15154,.F.); #20586=ORIENTED_EDGE('',*,*,#15155,.T.); #20587=ORIENTED_EDGE('',*,*,#15156,.T.); #20588=ORIENTED_EDGE('',*,*,#15152,.F.); #20589=ORIENTED_EDGE('',*,*,#15157,.F.); #20590=ORIENTED_EDGE('',*,*,#15158,.T.); #20591=ORIENTED_EDGE('',*,*,#15159,.T.); #20592=ORIENTED_EDGE('',*,*,#15155,.F.); #20593=ORIENTED_EDGE('',*,*,#15160,.F.); #20594=ORIENTED_EDGE('',*,*,#15161,.T.); #20595=ORIENTED_EDGE('',*,*,#15162,.T.); #20596=ORIENTED_EDGE('',*,*,#15158,.F.); #20597=ORIENTED_EDGE('',*,*,#15163,.F.); #20598=ORIENTED_EDGE('',*,*,#15164,.T.); #20599=ORIENTED_EDGE('',*,*,#15165,.T.); #20600=ORIENTED_EDGE('',*,*,#15161,.F.); #20601=ORIENTED_EDGE('',*,*,#15166,.F.); #20602=ORIENTED_EDGE('',*,*,#15167,.T.); #20603=ORIENTED_EDGE('',*,*,#15168,.T.); #20604=ORIENTED_EDGE('',*,*,#15164,.F.); #20605=ORIENTED_EDGE('',*,*,#15169,.F.); #20606=ORIENTED_EDGE('',*,*,#15170,.T.); #20607=ORIENTED_EDGE('',*,*,#15171,.T.); #20608=ORIENTED_EDGE('',*,*,#15167,.F.); #20609=ORIENTED_EDGE('',*,*,#15172,.F.); #20610=ORIENTED_EDGE('',*,*,#15173,.T.); #20611=ORIENTED_EDGE('',*,*,#15174,.T.); #20612=ORIENTED_EDGE('',*,*,#15170,.F.); #20613=ORIENTED_EDGE('',*,*,#15175,.F.); #20614=ORIENTED_EDGE('',*,*,#15176,.T.); #20615=ORIENTED_EDGE('',*,*,#15177,.T.); #20616=ORIENTED_EDGE('',*,*,#15173,.F.); #20617=ORIENTED_EDGE('',*,*,#15178,.F.); #20618=ORIENTED_EDGE('',*,*,#15179,.T.); #20619=ORIENTED_EDGE('',*,*,#15180,.T.); #20620=ORIENTED_EDGE('',*,*,#15176,.F.); #20621=ORIENTED_EDGE('',*,*,#15181,.F.); #20622=ORIENTED_EDGE('',*,*,#15182,.T.); #20623=ORIENTED_EDGE('',*,*,#15183,.T.); #20624=ORIENTED_EDGE('',*,*,#15179,.F.); #20625=ORIENTED_EDGE('',*,*,#15184,.F.); #20626=ORIENTED_EDGE('',*,*,#15185,.T.); #20627=ORIENTED_EDGE('',*,*,#15186,.T.); #20628=ORIENTED_EDGE('',*,*,#15182,.F.); #20629=ORIENTED_EDGE('',*,*,#15187,.F.); #20630=ORIENTED_EDGE('',*,*,#15188,.T.); #20631=ORIENTED_EDGE('',*,*,#15189,.T.); #20632=ORIENTED_EDGE('',*,*,#15185,.F.); #20633=ORIENTED_EDGE('',*,*,#15190,.F.); #20634=ORIENTED_EDGE('',*,*,#15191,.T.); #20635=ORIENTED_EDGE('',*,*,#15192,.T.); #20636=ORIENTED_EDGE('',*,*,#15188,.F.); #20637=ORIENTED_EDGE('',*,*,#15193,.F.); #20638=ORIENTED_EDGE('',*,*,#15194,.T.); #20639=ORIENTED_EDGE('',*,*,#15195,.T.); #20640=ORIENTED_EDGE('',*,*,#15191,.F.); #20641=ORIENTED_EDGE('',*,*,#15196,.F.); #20642=ORIENTED_EDGE('',*,*,#15197,.T.); #20643=ORIENTED_EDGE('',*,*,#15198,.T.); #20644=ORIENTED_EDGE('',*,*,#15194,.F.); #20645=ORIENTED_EDGE('',*,*,#15199,.F.); #20646=ORIENTED_EDGE('',*,*,#15200,.T.); #20647=ORIENTED_EDGE('',*,*,#15201,.T.); #20648=ORIENTED_EDGE('',*,*,#15197,.F.); #20649=ORIENTED_EDGE('',*,*,#15202,.F.); #20650=ORIENTED_EDGE('',*,*,#15203,.T.); #20651=ORIENTED_EDGE('',*,*,#15204,.T.); #20652=ORIENTED_EDGE('',*,*,#15200,.F.); #20653=ORIENTED_EDGE('',*,*,#15205,.F.); #20654=ORIENTED_EDGE('',*,*,#15206,.T.); #20655=ORIENTED_EDGE('',*,*,#15207,.T.); #20656=ORIENTED_EDGE('',*,*,#15203,.F.); #20657=ORIENTED_EDGE('',*,*,#15208,.F.); #20658=ORIENTED_EDGE('',*,*,#15209,.T.); #20659=ORIENTED_EDGE('',*,*,#15210,.T.); #20660=ORIENTED_EDGE('',*,*,#15206,.F.); #20661=ORIENTED_EDGE('',*,*,#15211,.F.); #20662=ORIENTED_EDGE('',*,*,#15212,.T.); #20663=ORIENTED_EDGE('',*,*,#15213,.T.); #20664=ORIENTED_EDGE('',*,*,#15209,.F.); #20665=ORIENTED_EDGE('',*,*,#15214,.F.); #20666=ORIENTED_EDGE('',*,*,#15215,.T.); #20667=ORIENTED_EDGE('',*,*,#15216,.T.); #20668=ORIENTED_EDGE('',*,*,#15212,.F.); #20669=ORIENTED_EDGE('',*,*,#15217,.F.); #20670=ORIENTED_EDGE('',*,*,#15218,.T.); #20671=ORIENTED_EDGE('',*,*,#15219,.T.); #20672=ORIENTED_EDGE('',*,*,#15215,.F.); #20673=ORIENTED_EDGE('',*,*,#15220,.F.); #20674=ORIENTED_EDGE('',*,*,#15221,.T.); #20675=ORIENTED_EDGE('',*,*,#15222,.T.); #20676=ORIENTED_EDGE('',*,*,#15218,.F.); #20677=ORIENTED_EDGE('',*,*,#15223,.F.); #20678=ORIENTED_EDGE('',*,*,#15224,.T.); #20679=ORIENTED_EDGE('',*,*,#15225,.T.); #20680=ORIENTED_EDGE('',*,*,#15221,.F.); #20681=ORIENTED_EDGE('',*,*,#15226,.F.); #20682=ORIENTED_EDGE('',*,*,#15227,.T.); #20683=ORIENTED_EDGE('',*,*,#15228,.T.); #20684=ORIENTED_EDGE('',*,*,#15224,.F.); #20685=ORIENTED_EDGE('',*,*,#15229,.F.); #20686=ORIENTED_EDGE('',*,*,#15230,.T.); #20687=ORIENTED_EDGE('',*,*,#15231,.T.); #20688=ORIENTED_EDGE('',*,*,#15227,.F.); #20689=ORIENTED_EDGE('',*,*,#15232,.F.); #20690=ORIENTED_EDGE('',*,*,#15233,.T.); #20691=ORIENTED_EDGE('',*,*,#15234,.T.); #20692=ORIENTED_EDGE('',*,*,#15230,.F.); #20693=ORIENTED_EDGE('',*,*,#15235,.F.); #20694=ORIENTED_EDGE('',*,*,#15236,.T.); #20695=ORIENTED_EDGE('',*,*,#15237,.T.); #20696=ORIENTED_EDGE('',*,*,#15233,.F.); #20697=ORIENTED_EDGE('',*,*,#15238,.F.); #20698=ORIENTED_EDGE('',*,*,#15239,.T.); #20699=ORIENTED_EDGE('',*,*,#15240,.T.); #20700=ORIENTED_EDGE('',*,*,#15236,.F.); #20701=ORIENTED_EDGE('',*,*,#15241,.F.); #20702=ORIENTED_EDGE('',*,*,#15242,.T.); #20703=ORIENTED_EDGE('',*,*,#15243,.T.); #20704=ORIENTED_EDGE('',*,*,#15239,.F.); #20705=ORIENTED_EDGE('',*,*,#15244,.F.); #20706=ORIENTED_EDGE('',*,*,#15245,.T.); #20707=ORIENTED_EDGE('',*,*,#15246,.T.); #20708=ORIENTED_EDGE('',*,*,#15242,.F.); #20709=ORIENTED_EDGE('',*,*,#15247,.F.); #20710=ORIENTED_EDGE('',*,*,#15248,.T.); #20711=ORIENTED_EDGE('',*,*,#15249,.T.); #20712=ORIENTED_EDGE('',*,*,#15245,.F.); #20713=ORIENTED_EDGE('',*,*,#15250,.F.); #20714=ORIENTED_EDGE('',*,*,#15251,.T.); #20715=ORIENTED_EDGE('',*,*,#15252,.T.); #20716=ORIENTED_EDGE('',*,*,#15248,.F.); #20717=ORIENTED_EDGE('',*,*,#15253,.F.); #20718=ORIENTED_EDGE('',*,*,#15254,.T.); #20719=ORIENTED_EDGE('',*,*,#15255,.T.); #20720=ORIENTED_EDGE('',*,*,#15251,.F.); #20721=ORIENTED_EDGE('',*,*,#15256,.F.); #20722=ORIENTED_EDGE('',*,*,#15257,.T.); #20723=ORIENTED_EDGE('',*,*,#15258,.T.); #20724=ORIENTED_EDGE('',*,*,#15254,.F.); #20725=ORIENTED_EDGE('',*,*,#15259,.F.); #20726=ORIENTED_EDGE('',*,*,#15102,.T.); #20727=ORIENTED_EDGE('',*,*,#15260,.T.); #20728=ORIENTED_EDGE('',*,*,#15257,.F.); #20729=ORIENTED_EDGE('',*,*,#15260,.F.); #20730=ORIENTED_EDGE('',*,*,#15101,.F.); #20731=ORIENTED_EDGE('',*,*,#15105,.F.); #20732=ORIENTED_EDGE('',*,*,#15108,.F.); #20733=ORIENTED_EDGE('',*,*,#15111,.F.); #20734=ORIENTED_EDGE('',*,*,#15114,.F.); #20735=ORIENTED_EDGE('',*,*,#15117,.F.); #20736=ORIENTED_EDGE('',*,*,#15120,.F.); #20737=ORIENTED_EDGE('',*,*,#15123,.F.); #20738=ORIENTED_EDGE('',*,*,#15126,.F.); #20739=ORIENTED_EDGE('',*,*,#15129,.F.); #20740=ORIENTED_EDGE('',*,*,#15132,.F.); #20741=ORIENTED_EDGE('',*,*,#15135,.F.); #20742=ORIENTED_EDGE('',*,*,#15138,.F.); #20743=ORIENTED_EDGE('',*,*,#15141,.F.); #20744=ORIENTED_EDGE('',*,*,#15144,.F.); #20745=ORIENTED_EDGE('',*,*,#15147,.F.); #20746=ORIENTED_EDGE('',*,*,#15150,.F.); #20747=ORIENTED_EDGE('',*,*,#15153,.F.); #20748=ORIENTED_EDGE('',*,*,#15156,.F.); #20749=ORIENTED_EDGE('',*,*,#15159,.F.); #20750=ORIENTED_EDGE('',*,*,#15162,.F.); #20751=ORIENTED_EDGE('',*,*,#15165,.F.); #20752=ORIENTED_EDGE('',*,*,#15168,.F.); #20753=ORIENTED_EDGE('',*,*,#15171,.F.); #20754=ORIENTED_EDGE('',*,*,#15174,.F.); #20755=ORIENTED_EDGE('',*,*,#15177,.F.); #20756=ORIENTED_EDGE('',*,*,#15180,.F.); #20757=ORIENTED_EDGE('',*,*,#15183,.F.); #20758=ORIENTED_EDGE('',*,*,#15186,.F.); #20759=ORIENTED_EDGE('',*,*,#15189,.F.); #20760=ORIENTED_EDGE('',*,*,#15192,.F.); #20761=ORIENTED_EDGE('',*,*,#15195,.F.); #20762=ORIENTED_EDGE('',*,*,#15198,.F.); #20763=ORIENTED_EDGE('',*,*,#15201,.F.); #20764=ORIENTED_EDGE('',*,*,#15204,.F.); #20765=ORIENTED_EDGE('',*,*,#15207,.F.); #20766=ORIENTED_EDGE('',*,*,#15210,.F.); #20767=ORIENTED_EDGE('',*,*,#15213,.F.); #20768=ORIENTED_EDGE('',*,*,#15216,.F.); #20769=ORIENTED_EDGE('',*,*,#15219,.F.); #20770=ORIENTED_EDGE('',*,*,#15222,.F.); #20771=ORIENTED_EDGE('',*,*,#15225,.F.); #20772=ORIENTED_EDGE('',*,*,#15228,.F.); #20773=ORIENTED_EDGE('',*,*,#15231,.F.); #20774=ORIENTED_EDGE('',*,*,#15234,.F.); #20775=ORIENTED_EDGE('',*,*,#15237,.F.); #20776=ORIENTED_EDGE('',*,*,#15240,.F.); #20777=ORIENTED_EDGE('',*,*,#15243,.F.); #20778=ORIENTED_EDGE('',*,*,#15246,.F.); #20779=ORIENTED_EDGE('',*,*,#15249,.F.); #20780=ORIENTED_EDGE('',*,*,#15252,.F.); #20781=ORIENTED_EDGE('',*,*,#15255,.F.); #20782=ORIENTED_EDGE('',*,*,#15258,.F.); #20783=ORIENTED_EDGE('',*,*,#15261,.F.); #20784=ORIENTED_EDGE('',*,*,#15262,.T.); #20785=ORIENTED_EDGE('',*,*,#15263,.T.); #20786=ORIENTED_EDGE('',*,*,#15264,.F.); #20787=ORIENTED_EDGE('',*,*,#15265,.F.); #20788=ORIENTED_EDGE('',*,*,#15266,.T.); #20789=ORIENTED_EDGE('',*,*,#15267,.T.); #20790=ORIENTED_EDGE('',*,*,#15262,.F.); #20791=ORIENTED_EDGE('',*,*,#15268,.F.); #20792=ORIENTED_EDGE('',*,*,#15269,.T.); #20793=ORIENTED_EDGE('',*,*,#15270,.T.); #20794=ORIENTED_EDGE('',*,*,#15266,.F.); #20795=ORIENTED_EDGE('',*,*,#15271,.F.); #20796=ORIENTED_EDGE('',*,*,#15272,.T.); #20797=ORIENTED_EDGE('',*,*,#15273,.T.); #20798=ORIENTED_EDGE('',*,*,#15269,.F.); #20799=ORIENTED_EDGE('',*,*,#15274,.F.); #20800=ORIENTED_EDGE('',*,*,#15275,.T.); #20801=ORIENTED_EDGE('',*,*,#15276,.T.); #20802=ORIENTED_EDGE('',*,*,#15272,.F.); #20803=ORIENTED_EDGE('',*,*,#15277,.F.); #20804=ORIENTED_EDGE('',*,*,#15278,.T.); #20805=ORIENTED_EDGE('',*,*,#15279,.T.); #20806=ORIENTED_EDGE('',*,*,#15275,.F.); #20807=ORIENTED_EDGE('',*,*,#15280,.F.); #20808=ORIENTED_EDGE('',*,*,#15281,.T.); #20809=ORIENTED_EDGE('',*,*,#15282,.T.); #20810=ORIENTED_EDGE('',*,*,#15278,.F.); #20811=ORIENTED_EDGE('',*,*,#15283,.F.); #20812=ORIENTED_EDGE('',*,*,#15284,.T.); #20813=ORIENTED_EDGE('',*,*,#15285,.T.); #20814=ORIENTED_EDGE('',*,*,#15281,.F.); #20815=ORIENTED_EDGE('',*,*,#15286,.F.); #20816=ORIENTED_EDGE('',*,*,#15287,.T.); #20817=ORIENTED_EDGE('',*,*,#15288,.T.); #20818=ORIENTED_EDGE('',*,*,#15284,.F.); #20819=ORIENTED_EDGE('',*,*,#15289,.F.); #20820=ORIENTED_EDGE('',*,*,#15290,.T.); #20821=ORIENTED_EDGE('',*,*,#15291,.T.); #20822=ORIENTED_EDGE('',*,*,#15287,.F.); #20823=ORIENTED_EDGE('',*,*,#15292,.F.); #20824=ORIENTED_EDGE('',*,*,#15293,.T.); #20825=ORIENTED_EDGE('',*,*,#15294,.T.); #20826=ORIENTED_EDGE('',*,*,#15290,.F.); #20827=ORIENTED_EDGE('',*,*,#15295,.F.); #20828=ORIENTED_EDGE('',*,*,#15296,.T.); #20829=ORIENTED_EDGE('',*,*,#15297,.T.); #20830=ORIENTED_EDGE('',*,*,#15293,.F.); #20831=ORIENTED_EDGE('',*,*,#15298,.F.); #20832=ORIENTED_EDGE('',*,*,#15299,.T.); #20833=ORIENTED_EDGE('',*,*,#15300,.T.); #20834=ORIENTED_EDGE('',*,*,#15296,.F.); #20835=ORIENTED_EDGE('',*,*,#15301,.F.); #20836=ORIENTED_EDGE('',*,*,#15302,.T.); #20837=ORIENTED_EDGE('',*,*,#15303,.T.); #20838=ORIENTED_EDGE('',*,*,#15299,.F.); #20839=ORIENTED_EDGE('',*,*,#15304,.F.); #20840=ORIENTED_EDGE('',*,*,#15305,.T.); #20841=ORIENTED_EDGE('',*,*,#15306,.T.); #20842=ORIENTED_EDGE('',*,*,#15302,.F.); #20843=ORIENTED_EDGE('',*,*,#15307,.F.); #20844=ORIENTED_EDGE('',*,*,#15308,.T.); #20845=ORIENTED_EDGE('',*,*,#15309,.T.); #20846=ORIENTED_EDGE('',*,*,#15305,.F.); #20847=ORIENTED_EDGE('',*,*,#15310,.F.); #20848=ORIENTED_EDGE('',*,*,#15311,.T.); #20849=ORIENTED_EDGE('',*,*,#15312,.T.); #20850=ORIENTED_EDGE('',*,*,#15308,.F.); #20851=ORIENTED_EDGE('',*,*,#15313,.F.); #20852=ORIENTED_EDGE('',*,*,#15314,.T.); #20853=ORIENTED_EDGE('',*,*,#15315,.T.); #20854=ORIENTED_EDGE('',*,*,#15311,.F.); #20855=ORIENTED_EDGE('',*,*,#15316,.F.); #20856=ORIENTED_EDGE('',*,*,#15317,.T.); #20857=ORIENTED_EDGE('',*,*,#15318,.T.); #20858=ORIENTED_EDGE('',*,*,#15314,.F.); #20859=ORIENTED_EDGE('',*,*,#15319,.F.); #20860=ORIENTED_EDGE('',*,*,#15320,.T.); #20861=ORIENTED_EDGE('',*,*,#15321,.T.); #20862=ORIENTED_EDGE('',*,*,#15317,.F.); #20863=ORIENTED_EDGE('',*,*,#15322,.F.); #20864=ORIENTED_EDGE('',*,*,#15323,.T.); #20865=ORIENTED_EDGE('',*,*,#15324,.T.); #20866=ORIENTED_EDGE('',*,*,#15320,.F.); #20867=ORIENTED_EDGE('',*,*,#15325,.F.); #20868=ORIENTED_EDGE('',*,*,#15326,.T.); #20869=ORIENTED_EDGE('',*,*,#15327,.T.); #20870=ORIENTED_EDGE('',*,*,#15323,.F.); #20871=ORIENTED_EDGE('',*,*,#15328,.F.); #20872=ORIENTED_EDGE('',*,*,#15329,.T.); #20873=ORIENTED_EDGE('',*,*,#15330,.T.); #20874=ORIENTED_EDGE('',*,*,#15326,.F.); #20875=ORIENTED_EDGE('',*,*,#15331,.F.); #20876=ORIENTED_EDGE('',*,*,#15332,.T.); #20877=ORIENTED_EDGE('',*,*,#15333,.T.); #20878=ORIENTED_EDGE('',*,*,#15329,.F.); #20879=ORIENTED_EDGE('',*,*,#15334,.F.); #20880=ORIENTED_EDGE('',*,*,#15335,.T.); #20881=ORIENTED_EDGE('',*,*,#15336,.T.); #20882=ORIENTED_EDGE('',*,*,#15332,.F.); #20883=ORIENTED_EDGE('',*,*,#15337,.F.); #20884=ORIENTED_EDGE('',*,*,#15338,.T.); #20885=ORIENTED_EDGE('',*,*,#15339,.T.); #20886=ORIENTED_EDGE('',*,*,#15335,.F.); #20887=ORIENTED_EDGE('',*,*,#15340,.F.); #20888=ORIENTED_EDGE('',*,*,#15341,.T.); #20889=ORIENTED_EDGE('',*,*,#15342,.T.); #20890=ORIENTED_EDGE('',*,*,#15338,.F.); #20891=ORIENTED_EDGE('',*,*,#15343,.F.); #20892=ORIENTED_EDGE('',*,*,#15344,.T.); #20893=ORIENTED_EDGE('',*,*,#15345,.T.); #20894=ORIENTED_EDGE('',*,*,#15341,.F.); #20895=ORIENTED_EDGE('',*,*,#15346,.F.); #20896=ORIENTED_EDGE('',*,*,#15347,.T.); #20897=ORIENTED_EDGE('',*,*,#15348,.T.); #20898=ORIENTED_EDGE('',*,*,#15344,.F.); #20899=ORIENTED_EDGE('',*,*,#15349,.F.); #20900=ORIENTED_EDGE('',*,*,#15350,.T.); #20901=ORIENTED_EDGE('',*,*,#15351,.T.); #20902=ORIENTED_EDGE('',*,*,#15347,.F.); #20903=ORIENTED_EDGE('',*,*,#15352,.F.); #20904=ORIENTED_EDGE('',*,*,#15353,.T.); #20905=ORIENTED_EDGE('',*,*,#15354,.T.); #20906=ORIENTED_EDGE('',*,*,#15350,.F.); #20907=ORIENTED_EDGE('',*,*,#15355,.F.); #20908=ORIENTED_EDGE('',*,*,#15356,.T.); #20909=ORIENTED_EDGE('',*,*,#15357,.T.); #20910=ORIENTED_EDGE('',*,*,#15353,.F.); #20911=ORIENTED_EDGE('',*,*,#15358,.F.); #20912=ORIENTED_EDGE('',*,*,#15359,.T.); #20913=ORIENTED_EDGE('',*,*,#15360,.T.); #20914=ORIENTED_EDGE('',*,*,#15356,.F.); #20915=ORIENTED_EDGE('',*,*,#15361,.F.); #20916=ORIENTED_EDGE('',*,*,#15362,.T.); #20917=ORIENTED_EDGE('',*,*,#15363,.T.); #20918=ORIENTED_EDGE('',*,*,#15359,.F.); #20919=ORIENTED_EDGE('',*,*,#15364,.F.); #20920=ORIENTED_EDGE('',*,*,#15365,.T.); #20921=ORIENTED_EDGE('',*,*,#15366,.T.); #20922=ORIENTED_EDGE('',*,*,#15362,.F.); #20923=ORIENTED_EDGE('',*,*,#15367,.F.); #20924=ORIENTED_EDGE('',*,*,#15368,.T.); #20925=ORIENTED_EDGE('',*,*,#15369,.T.); #20926=ORIENTED_EDGE('',*,*,#15365,.F.); #20927=ORIENTED_EDGE('',*,*,#15370,.F.); #20928=ORIENTED_EDGE('',*,*,#15371,.T.); #20929=ORIENTED_EDGE('',*,*,#15372,.T.); #20930=ORIENTED_EDGE('',*,*,#15368,.F.); #20931=ORIENTED_EDGE('',*,*,#15373,.F.); #20932=ORIENTED_EDGE('',*,*,#15374,.T.); #20933=ORIENTED_EDGE('',*,*,#15375,.T.); #20934=ORIENTED_EDGE('',*,*,#15371,.F.); #20935=ORIENTED_EDGE('',*,*,#15376,.F.); #20936=ORIENTED_EDGE('',*,*,#15377,.T.); #20937=ORIENTED_EDGE('',*,*,#15378,.T.); #20938=ORIENTED_EDGE('',*,*,#15374,.F.); #20939=ORIENTED_EDGE('',*,*,#15379,.F.); #20940=ORIENTED_EDGE('',*,*,#15380,.T.); #20941=ORIENTED_EDGE('',*,*,#15381,.T.); #20942=ORIENTED_EDGE('',*,*,#15377,.F.); #20943=ORIENTED_EDGE('',*,*,#15382,.F.); #20944=ORIENTED_EDGE('',*,*,#15383,.T.); #20945=ORIENTED_EDGE('',*,*,#15384,.T.); #20946=ORIENTED_EDGE('',*,*,#15380,.F.); #20947=ORIENTED_EDGE('',*,*,#15385,.F.); #20948=ORIENTED_EDGE('',*,*,#15386,.T.); #20949=ORIENTED_EDGE('',*,*,#15387,.T.); #20950=ORIENTED_EDGE('',*,*,#15383,.F.); #20951=ORIENTED_EDGE('',*,*,#15388,.F.); #20952=ORIENTED_EDGE('',*,*,#15389,.T.); #20953=ORIENTED_EDGE('',*,*,#15390,.T.); #20954=ORIENTED_EDGE('',*,*,#15386,.F.); #20955=ORIENTED_EDGE('',*,*,#15391,.F.); #20956=ORIENTED_EDGE('',*,*,#15264,.T.); #20957=ORIENTED_EDGE('',*,*,#15392,.T.); #20958=ORIENTED_EDGE('',*,*,#15389,.F.); #20959=ORIENTED_EDGE('',*,*,#15392,.F.); #20960=ORIENTED_EDGE('',*,*,#15263,.F.); #20961=ORIENTED_EDGE('',*,*,#15267,.F.); #20962=ORIENTED_EDGE('',*,*,#15270,.F.); #20963=ORIENTED_EDGE('',*,*,#15273,.F.); #20964=ORIENTED_EDGE('',*,*,#15276,.F.); #20965=ORIENTED_EDGE('',*,*,#15279,.F.); #20966=ORIENTED_EDGE('',*,*,#15282,.F.); #20967=ORIENTED_EDGE('',*,*,#15285,.F.); #20968=ORIENTED_EDGE('',*,*,#15288,.F.); #20969=ORIENTED_EDGE('',*,*,#15291,.F.); #20970=ORIENTED_EDGE('',*,*,#15294,.F.); #20971=ORIENTED_EDGE('',*,*,#15297,.F.); #20972=ORIENTED_EDGE('',*,*,#15300,.F.); #20973=ORIENTED_EDGE('',*,*,#15303,.F.); #20974=ORIENTED_EDGE('',*,*,#15306,.F.); #20975=ORIENTED_EDGE('',*,*,#15309,.F.); #20976=ORIENTED_EDGE('',*,*,#15312,.F.); #20977=ORIENTED_EDGE('',*,*,#15315,.F.); #20978=ORIENTED_EDGE('',*,*,#15318,.F.); #20979=ORIENTED_EDGE('',*,*,#15321,.F.); #20980=ORIENTED_EDGE('',*,*,#15324,.F.); #20981=ORIENTED_EDGE('',*,*,#15327,.F.); #20982=ORIENTED_EDGE('',*,*,#15330,.F.); #20983=ORIENTED_EDGE('',*,*,#15333,.F.); #20984=ORIENTED_EDGE('',*,*,#15336,.F.); #20985=ORIENTED_EDGE('',*,*,#15339,.F.); #20986=ORIENTED_EDGE('',*,*,#15342,.F.); #20987=ORIENTED_EDGE('',*,*,#15345,.F.); #20988=ORIENTED_EDGE('',*,*,#15348,.F.); #20989=ORIENTED_EDGE('',*,*,#15351,.F.); #20990=ORIENTED_EDGE('',*,*,#15354,.F.); #20991=ORIENTED_EDGE('',*,*,#15357,.F.); #20992=ORIENTED_EDGE('',*,*,#15360,.F.); #20993=ORIENTED_EDGE('',*,*,#15363,.F.); #20994=ORIENTED_EDGE('',*,*,#15366,.F.); #20995=ORIENTED_EDGE('',*,*,#15369,.F.); #20996=ORIENTED_EDGE('',*,*,#15372,.F.); #20997=ORIENTED_EDGE('',*,*,#15375,.F.); #20998=ORIENTED_EDGE('',*,*,#15378,.F.); #20999=ORIENTED_EDGE('',*,*,#15381,.F.); #21000=ORIENTED_EDGE('',*,*,#15384,.F.); #21001=ORIENTED_EDGE('',*,*,#15387,.F.); #21002=ORIENTED_EDGE('',*,*,#15390,.F.); #21003=ORIENTED_EDGE('',*,*,#15393,.F.); #21004=ORIENTED_EDGE('',*,*,#15394,.T.); #21005=ORIENTED_EDGE('',*,*,#15395,.T.); #21006=ORIENTED_EDGE('',*,*,#15396,.F.); #21007=ORIENTED_EDGE('',*,*,#15397,.F.); #21008=ORIENTED_EDGE('',*,*,#15398,.T.); #21009=ORIENTED_EDGE('',*,*,#15399,.T.); #21010=ORIENTED_EDGE('',*,*,#15394,.F.); #21011=ORIENTED_EDGE('',*,*,#15400,.F.); #21012=ORIENTED_EDGE('',*,*,#15401,.T.); #21013=ORIENTED_EDGE('',*,*,#15402,.T.); #21014=ORIENTED_EDGE('',*,*,#15398,.F.); #21015=ORIENTED_EDGE('',*,*,#15403,.F.); #21016=ORIENTED_EDGE('',*,*,#15404,.T.); #21017=ORIENTED_EDGE('',*,*,#15405,.T.); #21018=ORIENTED_EDGE('',*,*,#15401,.F.); #21019=ORIENTED_EDGE('',*,*,#15406,.F.); #21020=ORIENTED_EDGE('',*,*,#15407,.T.); #21021=ORIENTED_EDGE('',*,*,#15408,.T.); #21022=ORIENTED_EDGE('',*,*,#15404,.F.); #21023=ORIENTED_EDGE('',*,*,#15409,.F.); #21024=ORIENTED_EDGE('',*,*,#15410,.T.); #21025=ORIENTED_EDGE('',*,*,#15411,.T.); #21026=ORIENTED_EDGE('',*,*,#15407,.F.); #21027=ORIENTED_EDGE('',*,*,#15412,.F.); #21028=ORIENTED_EDGE('',*,*,#15413,.T.); #21029=ORIENTED_EDGE('',*,*,#15414,.T.); #21030=ORIENTED_EDGE('',*,*,#15410,.F.); #21031=ORIENTED_EDGE('',*,*,#15415,.F.); #21032=ORIENTED_EDGE('',*,*,#15416,.T.); #21033=ORIENTED_EDGE('',*,*,#15417,.T.); #21034=ORIENTED_EDGE('',*,*,#15413,.F.); #21035=ORIENTED_EDGE('',*,*,#15418,.F.); #21036=ORIENTED_EDGE('',*,*,#15419,.T.); #21037=ORIENTED_EDGE('',*,*,#15420,.T.); #21038=ORIENTED_EDGE('',*,*,#15416,.F.); #21039=ORIENTED_EDGE('',*,*,#15421,.F.); #21040=ORIENTED_EDGE('',*,*,#15422,.T.); #21041=ORIENTED_EDGE('',*,*,#15423,.T.); #21042=ORIENTED_EDGE('',*,*,#15419,.F.); #21043=ORIENTED_EDGE('',*,*,#15424,.F.); #21044=ORIENTED_EDGE('',*,*,#15425,.T.); #21045=ORIENTED_EDGE('',*,*,#15426,.T.); #21046=ORIENTED_EDGE('',*,*,#15422,.F.); #21047=ORIENTED_EDGE('',*,*,#15427,.F.); #21048=ORIENTED_EDGE('',*,*,#15428,.T.); #21049=ORIENTED_EDGE('',*,*,#15429,.T.); #21050=ORIENTED_EDGE('',*,*,#15425,.F.); #21051=ORIENTED_EDGE('',*,*,#15430,.F.); #21052=ORIENTED_EDGE('',*,*,#15431,.T.); #21053=ORIENTED_EDGE('',*,*,#15432,.T.); #21054=ORIENTED_EDGE('',*,*,#15428,.F.); #21055=ORIENTED_EDGE('',*,*,#15433,.F.); #21056=ORIENTED_EDGE('',*,*,#15434,.T.); #21057=ORIENTED_EDGE('',*,*,#15435,.T.); #21058=ORIENTED_EDGE('',*,*,#15431,.F.); #21059=ORIENTED_EDGE('',*,*,#15436,.F.); #21060=ORIENTED_EDGE('',*,*,#15437,.T.); #21061=ORIENTED_EDGE('',*,*,#15438,.T.); #21062=ORIENTED_EDGE('',*,*,#15434,.F.); #21063=ORIENTED_EDGE('',*,*,#15439,.F.); #21064=ORIENTED_EDGE('',*,*,#15440,.T.); #21065=ORIENTED_EDGE('',*,*,#15441,.T.); #21066=ORIENTED_EDGE('',*,*,#15437,.F.); #21067=ORIENTED_EDGE('',*,*,#15442,.F.); #21068=ORIENTED_EDGE('',*,*,#15443,.T.); #21069=ORIENTED_EDGE('',*,*,#15444,.T.); #21070=ORIENTED_EDGE('',*,*,#15440,.F.); #21071=ORIENTED_EDGE('',*,*,#15445,.F.); #21072=ORIENTED_EDGE('',*,*,#15446,.T.); #21073=ORIENTED_EDGE('',*,*,#15447,.T.); #21074=ORIENTED_EDGE('',*,*,#15443,.F.); #21075=ORIENTED_EDGE('',*,*,#15448,.F.); #21076=ORIENTED_EDGE('',*,*,#15449,.T.); #21077=ORIENTED_EDGE('',*,*,#15450,.T.); #21078=ORIENTED_EDGE('',*,*,#15446,.F.); #21079=ORIENTED_EDGE('',*,*,#15451,.F.); #21080=ORIENTED_EDGE('',*,*,#15452,.T.); #21081=ORIENTED_EDGE('',*,*,#15453,.T.); #21082=ORIENTED_EDGE('',*,*,#15449,.F.); #21083=ORIENTED_EDGE('',*,*,#15454,.F.); #21084=ORIENTED_EDGE('',*,*,#15455,.T.); #21085=ORIENTED_EDGE('',*,*,#15456,.T.); #21086=ORIENTED_EDGE('',*,*,#15452,.F.); #21087=ORIENTED_EDGE('',*,*,#15457,.F.); #21088=ORIENTED_EDGE('',*,*,#15458,.T.); #21089=ORIENTED_EDGE('',*,*,#15459,.T.); #21090=ORIENTED_EDGE('',*,*,#15455,.F.); #21091=ORIENTED_EDGE('',*,*,#15460,.F.); #21092=ORIENTED_EDGE('',*,*,#15461,.T.); #21093=ORIENTED_EDGE('',*,*,#15462,.T.); #21094=ORIENTED_EDGE('',*,*,#15458,.F.); #21095=ORIENTED_EDGE('',*,*,#15463,.F.); #21096=ORIENTED_EDGE('',*,*,#15464,.T.); #21097=ORIENTED_EDGE('',*,*,#15465,.T.); #21098=ORIENTED_EDGE('',*,*,#15461,.F.); #21099=ORIENTED_EDGE('',*,*,#15466,.F.); #21100=ORIENTED_EDGE('',*,*,#15467,.T.); #21101=ORIENTED_EDGE('',*,*,#15468,.T.); #21102=ORIENTED_EDGE('',*,*,#15464,.F.); #21103=ORIENTED_EDGE('',*,*,#15469,.F.); #21104=ORIENTED_EDGE('',*,*,#15470,.T.); #21105=ORIENTED_EDGE('',*,*,#15471,.T.); #21106=ORIENTED_EDGE('',*,*,#15467,.F.); #21107=ORIENTED_EDGE('',*,*,#15472,.F.); #21108=ORIENTED_EDGE('',*,*,#15473,.T.); #21109=ORIENTED_EDGE('',*,*,#15474,.T.); #21110=ORIENTED_EDGE('',*,*,#15470,.F.); #21111=ORIENTED_EDGE('',*,*,#15475,.F.); #21112=ORIENTED_EDGE('',*,*,#15476,.T.); #21113=ORIENTED_EDGE('',*,*,#15477,.T.); #21114=ORIENTED_EDGE('',*,*,#15473,.F.); #21115=ORIENTED_EDGE('',*,*,#15478,.F.); #21116=ORIENTED_EDGE('',*,*,#15479,.T.); #21117=ORIENTED_EDGE('',*,*,#15480,.T.); #21118=ORIENTED_EDGE('',*,*,#15476,.F.); #21119=ORIENTED_EDGE('',*,*,#15481,.F.); #21120=ORIENTED_EDGE('',*,*,#15482,.T.); #21121=ORIENTED_EDGE('',*,*,#15483,.T.); #21122=ORIENTED_EDGE('',*,*,#15479,.F.); #21123=ORIENTED_EDGE('',*,*,#15484,.F.); #21124=ORIENTED_EDGE('',*,*,#15485,.T.); #21125=ORIENTED_EDGE('',*,*,#15486,.T.); #21126=ORIENTED_EDGE('',*,*,#15482,.F.); #21127=ORIENTED_EDGE('',*,*,#15487,.F.); #21128=ORIENTED_EDGE('',*,*,#15488,.T.); #21129=ORIENTED_EDGE('',*,*,#15489,.T.); #21130=ORIENTED_EDGE('',*,*,#15485,.F.); #21131=ORIENTED_EDGE('',*,*,#15490,.F.); #21132=ORIENTED_EDGE('',*,*,#15491,.T.); #21133=ORIENTED_EDGE('',*,*,#15492,.T.); #21134=ORIENTED_EDGE('',*,*,#15488,.F.); #21135=ORIENTED_EDGE('',*,*,#15493,.F.); #21136=ORIENTED_EDGE('',*,*,#15494,.T.); #21137=ORIENTED_EDGE('',*,*,#15495,.T.); #21138=ORIENTED_EDGE('',*,*,#15491,.F.); #21139=ORIENTED_EDGE('',*,*,#15496,.F.); #21140=ORIENTED_EDGE('',*,*,#15497,.T.); #21141=ORIENTED_EDGE('',*,*,#15498,.T.); #21142=ORIENTED_EDGE('',*,*,#15494,.F.); #21143=ORIENTED_EDGE('',*,*,#15499,.F.); #21144=ORIENTED_EDGE('',*,*,#15500,.T.); #21145=ORIENTED_EDGE('',*,*,#15501,.T.); #21146=ORIENTED_EDGE('',*,*,#15497,.F.); #21147=ORIENTED_EDGE('',*,*,#15502,.F.); #21148=ORIENTED_EDGE('',*,*,#15503,.T.); #21149=ORIENTED_EDGE('',*,*,#15504,.T.); #21150=ORIENTED_EDGE('',*,*,#15500,.F.); #21151=ORIENTED_EDGE('',*,*,#15505,.F.); #21152=ORIENTED_EDGE('',*,*,#15506,.T.); #21153=ORIENTED_EDGE('',*,*,#15507,.T.); #21154=ORIENTED_EDGE('',*,*,#15503,.F.); #21155=ORIENTED_EDGE('',*,*,#15508,.F.); #21156=ORIENTED_EDGE('',*,*,#15509,.T.); #21157=ORIENTED_EDGE('',*,*,#15510,.T.); #21158=ORIENTED_EDGE('',*,*,#15506,.F.); #21159=ORIENTED_EDGE('',*,*,#15511,.F.); #21160=ORIENTED_EDGE('',*,*,#15512,.T.); #21161=ORIENTED_EDGE('',*,*,#15513,.T.); #21162=ORIENTED_EDGE('',*,*,#15509,.F.); #21163=ORIENTED_EDGE('',*,*,#15514,.F.); #21164=ORIENTED_EDGE('',*,*,#15515,.T.); #21165=ORIENTED_EDGE('',*,*,#15516,.T.); #21166=ORIENTED_EDGE('',*,*,#15512,.F.); #21167=ORIENTED_EDGE('',*,*,#15517,.F.); #21168=ORIENTED_EDGE('',*,*,#15518,.T.); #21169=ORIENTED_EDGE('',*,*,#15519,.T.); #21170=ORIENTED_EDGE('',*,*,#15515,.F.); #21171=ORIENTED_EDGE('',*,*,#15520,.F.); #21172=ORIENTED_EDGE('',*,*,#15521,.T.); #21173=ORIENTED_EDGE('',*,*,#15522,.T.); #21174=ORIENTED_EDGE('',*,*,#15518,.F.); #21175=ORIENTED_EDGE('',*,*,#15523,.F.); #21176=ORIENTED_EDGE('',*,*,#15524,.T.); #21177=ORIENTED_EDGE('',*,*,#15525,.T.); #21178=ORIENTED_EDGE('',*,*,#15521,.F.); #21179=ORIENTED_EDGE('',*,*,#15526,.F.); #21180=ORIENTED_EDGE('',*,*,#15527,.T.); #21181=ORIENTED_EDGE('',*,*,#15528,.T.); #21182=ORIENTED_EDGE('',*,*,#15524,.F.); #21183=ORIENTED_EDGE('',*,*,#15529,.F.); #21184=ORIENTED_EDGE('',*,*,#15530,.T.); #21185=ORIENTED_EDGE('',*,*,#15531,.T.); #21186=ORIENTED_EDGE('',*,*,#15527,.F.); #21187=ORIENTED_EDGE('',*,*,#15532,.F.); #21188=ORIENTED_EDGE('',*,*,#15533,.T.); #21189=ORIENTED_EDGE('',*,*,#15534,.T.); #21190=ORIENTED_EDGE('',*,*,#15530,.F.); #21191=ORIENTED_EDGE('',*,*,#15535,.F.); #21192=ORIENTED_EDGE('',*,*,#15536,.T.); #21193=ORIENTED_EDGE('',*,*,#15537,.T.); #21194=ORIENTED_EDGE('',*,*,#15533,.F.); #21195=ORIENTED_EDGE('',*,*,#15538,.F.); #21196=ORIENTED_EDGE('',*,*,#15539,.T.); #21197=ORIENTED_EDGE('',*,*,#15540,.T.); #21198=ORIENTED_EDGE('',*,*,#15536,.F.); #21199=ORIENTED_EDGE('',*,*,#15541,.F.); #21200=ORIENTED_EDGE('',*,*,#15542,.T.); #21201=ORIENTED_EDGE('',*,*,#15543,.T.); #21202=ORIENTED_EDGE('',*,*,#15539,.F.); #21203=ORIENTED_EDGE('',*,*,#15544,.F.); #21204=ORIENTED_EDGE('',*,*,#15545,.T.); #21205=ORIENTED_EDGE('',*,*,#15546,.T.); #21206=ORIENTED_EDGE('',*,*,#15542,.F.); #21207=ORIENTED_EDGE('',*,*,#15547,.F.); #21208=ORIENTED_EDGE('',*,*,#15548,.T.); #21209=ORIENTED_EDGE('',*,*,#15549,.T.); #21210=ORIENTED_EDGE('',*,*,#15545,.F.); #21211=ORIENTED_EDGE('',*,*,#15550,.F.); #21212=ORIENTED_EDGE('',*,*,#15551,.T.); #21213=ORIENTED_EDGE('',*,*,#15552,.T.); #21214=ORIENTED_EDGE('',*,*,#15548,.F.); #21215=ORIENTED_EDGE('',*,*,#15553,.F.); #21216=ORIENTED_EDGE('',*,*,#15396,.T.); #21217=ORIENTED_EDGE('',*,*,#15554,.T.); #21218=ORIENTED_EDGE('',*,*,#15551,.F.); #21219=ORIENTED_EDGE('',*,*,#15554,.F.); #21220=ORIENTED_EDGE('',*,*,#15395,.F.); #21221=ORIENTED_EDGE('',*,*,#15399,.F.); #21222=ORIENTED_EDGE('',*,*,#15402,.F.); #21223=ORIENTED_EDGE('',*,*,#15405,.F.); #21224=ORIENTED_EDGE('',*,*,#15408,.F.); #21225=ORIENTED_EDGE('',*,*,#15411,.F.); #21226=ORIENTED_EDGE('',*,*,#15414,.F.); #21227=ORIENTED_EDGE('',*,*,#15417,.F.); #21228=ORIENTED_EDGE('',*,*,#15420,.F.); #21229=ORIENTED_EDGE('',*,*,#15423,.F.); #21230=ORIENTED_EDGE('',*,*,#15426,.F.); #21231=ORIENTED_EDGE('',*,*,#15429,.F.); #21232=ORIENTED_EDGE('',*,*,#15432,.F.); #21233=ORIENTED_EDGE('',*,*,#15435,.F.); #21234=ORIENTED_EDGE('',*,*,#15438,.F.); #21235=ORIENTED_EDGE('',*,*,#15441,.F.); #21236=ORIENTED_EDGE('',*,*,#15444,.F.); #21237=ORIENTED_EDGE('',*,*,#15447,.F.); #21238=ORIENTED_EDGE('',*,*,#15450,.F.); #21239=ORIENTED_EDGE('',*,*,#15453,.F.); #21240=ORIENTED_EDGE('',*,*,#15456,.F.); #21241=ORIENTED_EDGE('',*,*,#15459,.F.); #21242=ORIENTED_EDGE('',*,*,#15462,.F.); #21243=ORIENTED_EDGE('',*,*,#15465,.F.); #21244=ORIENTED_EDGE('',*,*,#15468,.F.); #21245=ORIENTED_EDGE('',*,*,#15471,.F.); #21246=ORIENTED_EDGE('',*,*,#15474,.F.); #21247=ORIENTED_EDGE('',*,*,#15477,.F.); #21248=ORIENTED_EDGE('',*,*,#15480,.F.); #21249=ORIENTED_EDGE('',*,*,#15483,.F.); #21250=ORIENTED_EDGE('',*,*,#15486,.F.); #21251=ORIENTED_EDGE('',*,*,#15489,.F.); #21252=ORIENTED_EDGE('',*,*,#15492,.F.); #21253=ORIENTED_EDGE('',*,*,#15495,.F.); #21254=ORIENTED_EDGE('',*,*,#15498,.F.); #21255=ORIENTED_EDGE('',*,*,#15501,.F.); #21256=ORIENTED_EDGE('',*,*,#15504,.F.); #21257=ORIENTED_EDGE('',*,*,#15507,.F.); #21258=ORIENTED_EDGE('',*,*,#15510,.F.); #21259=ORIENTED_EDGE('',*,*,#15513,.F.); #21260=ORIENTED_EDGE('',*,*,#15516,.F.); #21261=ORIENTED_EDGE('',*,*,#15519,.F.); #21262=ORIENTED_EDGE('',*,*,#15522,.F.); #21263=ORIENTED_EDGE('',*,*,#15525,.F.); #21264=ORIENTED_EDGE('',*,*,#15528,.F.); #21265=ORIENTED_EDGE('',*,*,#15531,.F.); #21266=ORIENTED_EDGE('',*,*,#15534,.F.); #21267=ORIENTED_EDGE('',*,*,#15537,.F.); #21268=ORIENTED_EDGE('',*,*,#15540,.F.); #21269=ORIENTED_EDGE('',*,*,#15543,.F.); #21270=ORIENTED_EDGE('',*,*,#15546,.F.); #21271=ORIENTED_EDGE('',*,*,#15549,.F.); #21272=ORIENTED_EDGE('',*,*,#15552,.F.); #21273=ORIENTED_EDGE('',*,*,#15555,.F.); #21274=ORIENTED_EDGE('',*,*,#15556,.T.); #21275=ORIENTED_EDGE('',*,*,#15557,.T.); #21276=ORIENTED_EDGE('',*,*,#15558,.F.); #21277=ORIENTED_EDGE('',*,*,#15559,.F.); #21278=ORIENTED_EDGE('',*,*,#15560,.T.); #21279=ORIENTED_EDGE('',*,*,#15561,.T.); #21280=ORIENTED_EDGE('',*,*,#15556,.F.); #21281=ORIENTED_EDGE('',*,*,#15562,.F.); #21282=ORIENTED_EDGE('',*,*,#15563,.T.); #21283=ORIENTED_EDGE('',*,*,#15564,.T.); #21284=ORIENTED_EDGE('',*,*,#15560,.F.); #21285=ORIENTED_EDGE('',*,*,#15565,.F.); #21286=ORIENTED_EDGE('',*,*,#15566,.T.); #21287=ORIENTED_EDGE('',*,*,#15567,.T.); #21288=ORIENTED_EDGE('',*,*,#15563,.F.); #21289=ORIENTED_EDGE('',*,*,#15568,.F.); #21290=ORIENTED_EDGE('',*,*,#15569,.T.); #21291=ORIENTED_EDGE('',*,*,#15570,.T.); #21292=ORIENTED_EDGE('',*,*,#15566,.F.); #21293=ORIENTED_EDGE('',*,*,#15571,.F.); #21294=ORIENTED_EDGE('',*,*,#15572,.T.); #21295=ORIENTED_EDGE('',*,*,#15573,.T.); #21296=ORIENTED_EDGE('',*,*,#15569,.F.); #21297=ORIENTED_EDGE('',*,*,#15574,.F.); #21298=ORIENTED_EDGE('',*,*,#15575,.T.); #21299=ORIENTED_EDGE('',*,*,#15576,.T.); #21300=ORIENTED_EDGE('',*,*,#15572,.F.); #21301=ORIENTED_EDGE('',*,*,#15577,.F.); #21302=ORIENTED_EDGE('',*,*,#15578,.T.); #21303=ORIENTED_EDGE('',*,*,#15579,.T.); #21304=ORIENTED_EDGE('',*,*,#15575,.F.); #21305=ORIENTED_EDGE('',*,*,#15580,.F.); #21306=ORIENTED_EDGE('',*,*,#15581,.T.); #21307=ORIENTED_EDGE('',*,*,#15582,.T.); #21308=ORIENTED_EDGE('',*,*,#15578,.F.); #21309=ORIENTED_EDGE('',*,*,#15583,.F.); #21310=ORIENTED_EDGE('',*,*,#15584,.T.); #21311=ORIENTED_EDGE('',*,*,#15585,.T.); #21312=ORIENTED_EDGE('',*,*,#15581,.F.); #21313=ORIENTED_EDGE('',*,*,#15586,.F.); #21314=ORIENTED_EDGE('',*,*,#15587,.T.); #21315=ORIENTED_EDGE('',*,*,#15588,.T.); #21316=ORIENTED_EDGE('',*,*,#15584,.F.); #21317=ORIENTED_EDGE('',*,*,#15589,.F.); #21318=ORIENTED_EDGE('',*,*,#15590,.T.); #21319=ORIENTED_EDGE('',*,*,#15591,.T.); #21320=ORIENTED_EDGE('',*,*,#15587,.F.); #21321=ORIENTED_EDGE('',*,*,#15592,.F.); #21322=ORIENTED_EDGE('',*,*,#15593,.T.); #21323=ORIENTED_EDGE('',*,*,#15594,.T.); #21324=ORIENTED_EDGE('',*,*,#15590,.F.); #21325=ORIENTED_EDGE('',*,*,#15595,.F.); #21326=ORIENTED_EDGE('',*,*,#15596,.T.); #21327=ORIENTED_EDGE('',*,*,#15597,.T.); #21328=ORIENTED_EDGE('',*,*,#15593,.F.); #21329=ORIENTED_EDGE('',*,*,#15598,.F.); #21330=ORIENTED_EDGE('',*,*,#15599,.T.); #21331=ORIENTED_EDGE('',*,*,#15600,.T.); #21332=ORIENTED_EDGE('',*,*,#15596,.F.); #21333=ORIENTED_EDGE('',*,*,#15601,.F.); #21334=ORIENTED_EDGE('',*,*,#15602,.T.); #21335=ORIENTED_EDGE('',*,*,#15603,.T.); #21336=ORIENTED_EDGE('',*,*,#15599,.F.); #21337=ORIENTED_EDGE('',*,*,#15604,.F.); #21338=ORIENTED_EDGE('',*,*,#15605,.T.); #21339=ORIENTED_EDGE('',*,*,#15606,.T.); #21340=ORIENTED_EDGE('',*,*,#15602,.F.); #21341=ORIENTED_EDGE('',*,*,#15607,.F.); #21342=ORIENTED_EDGE('',*,*,#15608,.T.); #21343=ORIENTED_EDGE('',*,*,#15609,.T.); #21344=ORIENTED_EDGE('',*,*,#15605,.F.); #21345=ORIENTED_EDGE('',*,*,#15610,.F.); #21346=ORIENTED_EDGE('',*,*,#15611,.T.); #21347=ORIENTED_EDGE('',*,*,#15612,.T.); #21348=ORIENTED_EDGE('',*,*,#15608,.F.); #21349=ORIENTED_EDGE('',*,*,#15613,.F.); #21350=ORIENTED_EDGE('',*,*,#15614,.T.); #21351=ORIENTED_EDGE('',*,*,#15615,.T.); #21352=ORIENTED_EDGE('',*,*,#15611,.F.); #21353=ORIENTED_EDGE('',*,*,#15616,.F.); #21354=ORIENTED_EDGE('',*,*,#15617,.T.); #21355=ORIENTED_EDGE('',*,*,#15618,.T.); #21356=ORIENTED_EDGE('',*,*,#15614,.F.); #21357=ORIENTED_EDGE('',*,*,#15619,.F.); #21358=ORIENTED_EDGE('',*,*,#15620,.T.); #21359=ORIENTED_EDGE('',*,*,#15621,.T.); #21360=ORIENTED_EDGE('',*,*,#15617,.F.); #21361=ORIENTED_EDGE('',*,*,#15622,.F.); #21362=ORIENTED_EDGE('',*,*,#15623,.T.); #21363=ORIENTED_EDGE('',*,*,#15624,.T.); #21364=ORIENTED_EDGE('',*,*,#15620,.F.); #21365=ORIENTED_EDGE('',*,*,#15625,.F.); #21366=ORIENTED_EDGE('',*,*,#15626,.T.); #21367=ORIENTED_EDGE('',*,*,#15627,.T.); #21368=ORIENTED_EDGE('',*,*,#15623,.F.); #21369=ORIENTED_EDGE('',*,*,#15628,.F.); #21370=ORIENTED_EDGE('',*,*,#15629,.T.); #21371=ORIENTED_EDGE('',*,*,#15630,.T.); #21372=ORIENTED_EDGE('',*,*,#15626,.F.); #21373=ORIENTED_EDGE('',*,*,#15631,.F.); #21374=ORIENTED_EDGE('',*,*,#15632,.T.); #21375=ORIENTED_EDGE('',*,*,#15633,.T.); #21376=ORIENTED_EDGE('',*,*,#15629,.F.); #21377=ORIENTED_EDGE('',*,*,#15634,.F.); #21378=ORIENTED_EDGE('',*,*,#15635,.T.); #21379=ORIENTED_EDGE('',*,*,#15636,.T.); #21380=ORIENTED_EDGE('',*,*,#15632,.F.); #21381=ORIENTED_EDGE('',*,*,#15637,.F.); #21382=ORIENTED_EDGE('',*,*,#15638,.T.); #21383=ORIENTED_EDGE('',*,*,#15639,.T.); #21384=ORIENTED_EDGE('',*,*,#15635,.F.); #21385=ORIENTED_EDGE('',*,*,#15640,.F.); #21386=ORIENTED_EDGE('',*,*,#15641,.T.); #21387=ORIENTED_EDGE('',*,*,#15642,.T.); #21388=ORIENTED_EDGE('',*,*,#15638,.F.); #21389=ORIENTED_EDGE('',*,*,#15643,.F.); #21390=ORIENTED_EDGE('',*,*,#15644,.T.); #21391=ORIENTED_EDGE('',*,*,#15645,.T.); #21392=ORIENTED_EDGE('',*,*,#15641,.F.); #21393=ORIENTED_EDGE('',*,*,#15646,.F.); #21394=ORIENTED_EDGE('',*,*,#15647,.T.); #21395=ORIENTED_EDGE('',*,*,#15648,.T.); #21396=ORIENTED_EDGE('',*,*,#15644,.F.); #21397=ORIENTED_EDGE('',*,*,#15649,.F.); #21398=ORIENTED_EDGE('',*,*,#15650,.T.); #21399=ORIENTED_EDGE('',*,*,#15651,.T.); #21400=ORIENTED_EDGE('',*,*,#15647,.F.); #21401=ORIENTED_EDGE('',*,*,#15652,.F.); #21402=ORIENTED_EDGE('',*,*,#15653,.T.); #21403=ORIENTED_EDGE('',*,*,#15654,.T.); #21404=ORIENTED_EDGE('',*,*,#15650,.F.); #21405=ORIENTED_EDGE('',*,*,#15655,.F.); #21406=ORIENTED_EDGE('',*,*,#15656,.T.); #21407=ORIENTED_EDGE('',*,*,#15657,.T.); #21408=ORIENTED_EDGE('',*,*,#15653,.F.); #21409=ORIENTED_EDGE('',*,*,#15658,.F.); #21410=ORIENTED_EDGE('',*,*,#15659,.T.); #21411=ORIENTED_EDGE('',*,*,#15660,.T.); #21412=ORIENTED_EDGE('',*,*,#15656,.F.); #21413=ORIENTED_EDGE('',*,*,#15661,.F.); #21414=ORIENTED_EDGE('',*,*,#15662,.T.); #21415=ORIENTED_EDGE('',*,*,#15663,.T.); #21416=ORIENTED_EDGE('',*,*,#15659,.F.); #21417=ORIENTED_EDGE('',*,*,#15664,.F.); #21418=ORIENTED_EDGE('',*,*,#15665,.T.); #21419=ORIENTED_EDGE('',*,*,#15666,.T.); #21420=ORIENTED_EDGE('',*,*,#15662,.F.); #21421=ORIENTED_EDGE('',*,*,#15667,.F.); #21422=ORIENTED_EDGE('',*,*,#15668,.T.); #21423=ORIENTED_EDGE('',*,*,#15669,.T.); #21424=ORIENTED_EDGE('',*,*,#15665,.F.); #21425=ORIENTED_EDGE('',*,*,#15670,.F.); #21426=ORIENTED_EDGE('',*,*,#15671,.T.); #21427=ORIENTED_EDGE('',*,*,#15672,.T.); #21428=ORIENTED_EDGE('',*,*,#15668,.F.); #21429=ORIENTED_EDGE('',*,*,#15673,.F.); #21430=ORIENTED_EDGE('',*,*,#15674,.T.); #21431=ORIENTED_EDGE('',*,*,#15675,.T.); #21432=ORIENTED_EDGE('',*,*,#15671,.F.); #21433=ORIENTED_EDGE('',*,*,#15676,.F.); #21434=ORIENTED_EDGE('',*,*,#15677,.T.); #21435=ORIENTED_EDGE('',*,*,#15678,.T.); #21436=ORIENTED_EDGE('',*,*,#15674,.F.); #21437=ORIENTED_EDGE('',*,*,#15679,.F.); #21438=ORIENTED_EDGE('',*,*,#15558,.T.); #21439=ORIENTED_EDGE('',*,*,#15680,.T.); #21440=ORIENTED_EDGE('',*,*,#15677,.F.); #21441=ORIENTED_EDGE('',*,*,#15680,.F.); #21442=ORIENTED_EDGE('',*,*,#15557,.F.); #21443=ORIENTED_EDGE('',*,*,#15561,.F.); #21444=ORIENTED_EDGE('',*,*,#15564,.F.); #21445=ORIENTED_EDGE('',*,*,#15567,.F.); #21446=ORIENTED_EDGE('',*,*,#15570,.F.); #21447=ORIENTED_EDGE('',*,*,#15573,.F.); #21448=ORIENTED_EDGE('',*,*,#15576,.F.); #21449=ORIENTED_EDGE('',*,*,#15579,.F.); #21450=ORIENTED_EDGE('',*,*,#15582,.F.); #21451=ORIENTED_EDGE('',*,*,#15585,.F.); #21452=ORIENTED_EDGE('',*,*,#15588,.F.); #21453=ORIENTED_EDGE('',*,*,#15591,.F.); #21454=ORIENTED_EDGE('',*,*,#15594,.F.); #21455=ORIENTED_EDGE('',*,*,#15597,.F.); #21456=ORIENTED_EDGE('',*,*,#15600,.F.); #21457=ORIENTED_EDGE('',*,*,#15603,.F.); #21458=ORIENTED_EDGE('',*,*,#15606,.F.); #21459=ORIENTED_EDGE('',*,*,#15609,.F.); #21460=ORIENTED_EDGE('',*,*,#15612,.F.); #21461=ORIENTED_EDGE('',*,*,#15615,.F.); #21462=ORIENTED_EDGE('',*,*,#15618,.F.); #21463=ORIENTED_EDGE('',*,*,#15621,.F.); #21464=ORIENTED_EDGE('',*,*,#15624,.F.); #21465=ORIENTED_EDGE('',*,*,#15627,.F.); #21466=ORIENTED_EDGE('',*,*,#15630,.F.); #21467=ORIENTED_EDGE('',*,*,#15633,.F.); #21468=ORIENTED_EDGE('',*,*,#15636,.F.); #21469=ORIENTED_EDGE('',*,*,#15639,.F.); #21470=ORIENTED_EDGE('',*,*,#15642,.F.); #21471=ORIENTED_EDGE('',*,*,#15645,.F.); #21472=ORIENTED_EDGE('',*,*,#15648,.F.); #21473=ORIENTED_EDGE('',*,*,#15651,.F.); #21474=ORIENTED_EDGE('',*,*,#15654,.F.); #21475=ORIENTED_EDGE('',*,*,#15657,.F.); #21476=ORIENTED_EDGE('',*,*,#15660,.F.); #21477=ORIENTED_EDGE('',*,*,#15663,.F.); #21478=ORIENTED_EDGE('',*,*,#15666,.F.); #21479=ORIENTED_EDGE('',*,*,#15669,.F.); #21480=ORIENTED_EDGE('',*,*,#15672,.F.); #21481=ORIENTED_EDGE('',*,*,#15675,.F.); #21482=ORIENTED_EDGE('',*,*,#15678,.F.); #21483=ORIENTED_EDGE('',*,*,#15681,.F.); #21484=ORIENTED_EDGE('',*,*,#15682,.T.); #21485=ORIENTED_EDGE('',*,*,#15683,.T.); #21486=ORIENTED_EDGE('',*,*,#15684,.F.); #21487=ORIENTED_EDGE('',*,*,#15685,.F.); #21488=ORIENTED_EDGE('',*,*,#15686,.T.); #21489=ORIENTED_EDGE('',*,*,#15687,.T.); #21490=ORIENTED_EDGE('',*,*,#15682,.F.); #21491=ORIENTED_EDGE('',*,*,#15688,.F.); #21492=ORIENTED_EDGE('',*,*,#15689,.T.); #21493=ORIENTED_EDGE('',*,*,#15690,.T.); #21494=ORIENTED_EDGE('',*,*,#15686,.F.); #21495=ORIENTED_EDGE('',*,*,#15691,.F.); #21496=ORIENTED_EDGE('',*,*,#15692,.T.); #21497=ORIENTED_EDGE('',*,*,#15693,.T.); #21498=ORIENTED_EDGE('',*,*,#15689,.F.); #21499=ORIENTED_EDGE('',*,*,#15694,.F.); #21500=ORIENTED_EDGE('',*,*,#15695,.T.); #21501=ORIENTED_EDGE('',*,*,#15696,.T.); #21502=ORIENTED_EDGE('',*,*,#15692,.F.); #21503=ORIENTED_EDGE('',*,*,#15697,.F.); #21504=ORIENTED_EDGE('',*,*,#15698,.T.); #21505=ORIENTED_EDGE('',*,*,#15699,.T.); #21506=ORIENTED_EDGE('',*,*,#15695,.F.); #21507=ORIENTED_EDGE('',*,*,#15700,.F.); #21508=ORIENTED_EDGE('',*,*,#15701,.T.); #21509=ORIENTED_EDGE('',*,*,#15702,.T.); #21510=ORIENTED_EDGE('',*,*,#15698,.F.); #21511=ORIENTED_EDGE('',*,*,#15703,.F.); #21512=ORIENTED_EDGE('',*,*,#15704,.T.); #21513=ORIENTED_EDGE('',*,*,#15705,.T.); #21514=ORIENTED_EDGE('',*,*,#15701,.F.); #21515=ORIENTED_EDGE('',*,*,#15706,.F.); #21516=ORIENTED_EDGE('',*,*,#15684,.T.); #21517=ORIENTED_EDGE('',*,*,#15707,.T.); #21518=ORIENTED_EDGE('',*,*,#15704,.F.); #21519=ORIENTED_EDGE('',*,*,#15708,.F.); #21520=ORIENTED_EDGE('',*,*,#15709,.T.); #21521=ORIENTED_EDGE('',*,*,#15710,.T.); #21522=ORIENTED_EDGE('',*,*,#15711,.F.); #21523=ORIENTED_EDGE('',*,*,#15712,.F.); #21524=ORIENTED_EDGE('',*,*,#15713,.T.); #21525=ORIENTED_EDGE('',*,*,#15714,.T.); #21526=ORIENTED_EDGE('',*,*,#15709,.F.); #21527=ORIENTED_EDGE('',*,*,#15715,.F.); #21528=ORIENTED_EDGE('',*,*,#15716,.T.); #21529=ORIENTED_EDGE('',*,*,#15717,.T.); #21530=ORIENTED_EDGE('',*,*,#15713,.F.); #21531=ORIENTED_EDGE('',*,*,#15718,.F.); #21532=ORIENTED_EDGE('',*,*,#15719,.T.); #21533=ORIENTED_EDGE('',*,*,#15720,.T.); #21534=ORIENTED_EDGE('',*,*,#15716,.F.); #21535=ORIENTED_EDGE('',*,*,#15721,.F.); #21536=ORIENTED_EDGE('',*,*,#15722,.T.); #21537=ORIENTED_EDGE('',*,*,#15723,.T.); #21538=ORIENTED_EDGE('',*,*,#15719,.F.); #21539=ORIENTED_EDGE('',*,*,#15724,.F.); #21540=ORIENTED_EDGE('',*,*,#15725,.T.); #21541=ORIENTED_EDGE('',*,*,#15726,.T.); #21542=ORIENTED_EDGE('',*,*,#15722,.F.); #21543=ORIENTED_EDGE('',*,*,#15727,.F.); #21544=ORIENTED_EDGE('',*,*,#15728,.T.); #21545=ORIENTED_EDGE('',*,*,#15729,.T.); #21546=ORIENTED_EDGE('',*,*,#15725,.F.); #21547=ORIENTED_EDGE('',*,*,#15730,.F.); #21548=ORIENTED_EDGE('',*,*,#15731,.T.); #21549=ORIENTED_EDGE('',*,*,#15732,.T.); #21550=ORIENTED_EDGE('',*,*,#15728,.F.); #21551=ORIENTED_EDGE('',*,*,#15733,.F.); #21552=ORIENTED_EDGE('',*,*,#15734,.T.); #21553=ORIENTED_EDGE('',*,*,#15735,.T.); #21554=ORIENTED_EDGE('',*,*,#15731,.F.); #21555=ORIENTED_EDGE('',*,*,#15736,.F.); #21556=ORIENTED_EDGE('',*,*,#15737,.T.); #21557=ORIENTED_EDGE('',*,*,#15738,.T.); #21558=ORIENTED_EDGE('',*,*,#15734,.F.); #21559=ORIENTED_EDGE('',*,*,#15739,.F.); #21560=ORIENTED_EDGE('',*,*,#15711,.T.); #21561=ORIENTED_EDGE('',*,*,#15740,.T.); #21562=ORIENTED_EDGE('',*,*,#15737,.F.); #21563=ORIENTED_EDGE('',*,*,#15740,.F.); #21564=ORIENTED_EDGE('',*,*,#15710,.F.); #21565=ORIENTED_EDGE('',*,*,#15714,.F.); #21566=ORIENTED_EDGE('',*,*,#15717,.F.); #21567=ORIENTED_EDGE('',*,*,#15720,.F.); #21568=ORIENTED_EDGE('',*,*,#15723,.F.); #21569=ORIENTED_EDGE('',*,*,#15726,.F.); #21570=ORIENTED_EDGE('',*,*,#15729,.F.); #21571=ORIENTED_EDGE('',*,*,#15732,.F.); #21572=ORIENTED_EDGE('',*,*,#15735,.F.); #21573=ORIENTED_EDGE('',*,*,#15738,.F.); #21574=ORIENTED_EDGE('',*,*,#15707,.F.); #21575=ORIENTED_EDGE('',*,*,#15683,.F.); #21576=ORIENTED_EDGE('',*,*,#15687,.F.); #21577=ORIENTED_EDGE('',*,*,#15690,.F.); #21578=ORIENTED_EDGE('',*,*,#15693,.F.); #21579=ORIENTED_EDGE('',*,*,#15696,.F.); #21580=ORIENTED_EDGE('',*,*,#15699,.F.); #21581=ORIENTED_EDGE('',*,*,#15702,.F.); #21582=ORIENTED_EDGE('',*,*,#15705,.F.); #21583=ORIENTED_EDGE('',*,*,#15741,.F.); #21584=ORIENTED_EDGE('',*,*,#15742,.T.); #21585=ORIENTED_EDGE('',*,*,#15743,.T.); #21586=ORIENTED_EDGE('',*,*,#15744,.F.); #21587=ORIENTED_EDGE('',*,*,#15745,.F.); #21588=ORIENTED_EDGE('',*,*,#15746,.T.); #21589=ORIENTED_EDGE('',*,*,#15747,.T.); #21590=ORIENTED_EDGE('',*,*,#15742,.F.); #21591=ORIENTED_EDGE('',*,*,#15748,.F.); #21592=ORIENTED_EDGE('',*,*,#15749,.T.); #21593=ORIENTED_EDGE('',*,*,#15750,.T.); #21594=ORIENTED_EDGE('',*,*,#15746,.F.); #21595=ORIENTED_EDGE('',*,*,#15751,.F.); #21596=ORIENTED_EDGE('',*,*,#15752,.T.); #21597=ORIENTED_EDGE('',*,*,#15753,.T.); #21598=ORIENTED_EDGE('',*,*,#15749,.F.); #21599=ORIENTED_EDGE('',*,*,#15754,.F.); #21600=ORIENTED_EDGE('',*,*,#15755,.T.); #21601=ORIENTED_EDGE('',*,*,#15756,.T.); #21602=ORIENTED_EDGE('',*,*,#15752,.F.); #21603=ORIENTED_EDGE('',*,*,#15757,.F.); #21604=ORIENTED_EDGE('',*,*,#15758,.T.); #21605=ORIENTED_EDGE('',*,*,#15759,.T.); #21606=ORIENTED_EDGE('',*,*,#15755,.F.); #21607=ORIENTED_EDGE('',*,*,#15760,.F.); #21608=ORIENTED_EDGE('',*,*,#15761,.T.); #21609=ORIENTED_EDGE('',*,*,#15762,.T.); #21610=ORIENTED_EDGE('',*,*,#15758,.F.); #21611=ORIENTED_EDGE('',*,*,#15763,.F.); #21612=ORIENTED_EDGE('',*,*,#15764,.T.); #21613=ORIENTED_EDGE('',*,*,#15765,.T.); #21614=ORIENTED_EDGE('',*,*,#15761,.F.); #21615=ORIENTED_EDGE('',*,*,#15766,.F.); #21616=ORIENTED_EDGE('',*,*,#15767,.T.); #21617=ORIENTED_EDGE('',*,*,#15768,.T.); #21618=ORIENTED_EDGE('',*,*,#15764,.F.); #21619=ORIENTED_EDGE('',*,*,#15769,.F.); #21620=ORIENTED_EDGE('',*,*,#15770,.T.); #21621=ORIENTED_EDGE('',*,*,#15771,.T.); #21622=ORIENTED_EDGE('',*,*,#15767,.F.); #21623=ORIENTED_EDGE('',*,*,#15772,.F.); #21624=ORIENTED_EDGE('',*,*,#15773,.T.); #21625=ORIENTED_EDGE('',*,*,#15774,.T.); #21626=ORIENTED_EDGE('',*,*,#15770,.F.); #21627=ORIENTED_EDGE('',*,*,#15775,.F.); #21628=ORIENTED_EDGE('',*,*,#15776,.T.); #21629=ORIENTED_EDGE('',*,*,#15777,.T.); #21630=ORIENTED_EDGE('',*,*,#15773,.F.); #21631=ORIENTED_EDGE('',*,*,#15778,.F.); #21632=ORIENTED_EDGE('',*,*,#15779,.T.); #21633=ORIENTED_EDGE('',*,*,#15780,.T.); #21634=ORIENTED_EDGE('',*,*,#15776,.F.); #21635=ORIENTED_EDGE('',*,*,#15781,.F.); #21636=ORIENTED_EDGE('',*,*,#15782,.T.); #21637=ORIENTED_EDGE('',*,*,#15783,.T.); #21638=ORIENTED_EDGE('',*,*,#15779,.F.); #21639=ORIENTED_EDGE('',*,*,#15784,.F.); #21640=ORIENTED_EDGE('',*,*,#15785,.T.); #21641=ORIENTED_EDGE('',*,*,#15786,.T.); #21642=ORIENTED_EDGE('',*,*,#15782,.F.); #21643=ORIENTED_EDGE('',*,*,#15787,.F.); #21644=ORIENTED_EDGE('',*,*,#15788,.T.); #21645=ORIENTED_EDGE('',*,*,#15789,.T.); #21646=ORIENTED_EDGE('',*,*,#15785,.F.); #21647=ORIENTED_EDGE('',*,*,#15790,.F.); #21648=ORIENTED_EDGE('',*,*,#15791,.T.); #21649=ORIENTED_EDGE('',*,*,#15792,.T.); #21650=ORIENTED_EDGE('',*,*,#15788,.F.); #21651=ORIENTED_EDGE('',*,*,#15793,.F.); #21652=ORIENTED_EDGE('',*,*,#15794,.T.); #21653=ORIENTED_EDGE('',*,*,#15795,.T.); #21654=ORIENTED_EDGE('',*,*,#15791,.F.); #21655=ORIENTED_EDGE('',*,*,#15796,.F.); #21656=ORIENTED_EDGE('',*,*,#15797,.T.); #21657=ORIENTED_EDGE('',*,*,#15798,.T.); #21658=ORIENTED_EDGE('',*,*,#15794,.F.); #21659=ORIENTED_EDGE('',*,*,#15799,.F.); #21660=ORIENTED_EDGE('',*,*,#15800,.T.); #21661=ORIENTED_EDGE('',*,*,#15801,.T.); #21662=ORIENTED_EDGE('',*,*,#15797,.F.); #21663=ORIENTED_EDGE('',*,*,#15802,.F.); #21664=ORIENTED_EDGE('',*,*,#15803,.T.); #21665=ORIENTED_EDGE('',*,*,#15804,.T.); #21666=ORIENTED_EDGE('',*,*,#15800,.F.); #21667=ORIENTED_EDGE('',*,*,#15805,.F.); #21668=ORIENTED_EDGE('',*,*,#15806,.T.); #21669=ORIENTED_EDGE('',*,*,#15807,.T.); #21670=ORIENTED_EDGE('',*,*,#15803,.F.); #21671=ORIENTED_EDGE('',*,*,#15808,.F.); #21672=ORIENTED_EDGE('',*,*,#15809,.T.); #21673=ORIENTED_EDGE('',*,*,#15810,.T.); #21674=ORIENTED_EDGE('',*,*,#15806,.F.); #21675=ORIENTED_EDGE('',*,*,#15811,.F.); #21676=ORIENTED_EDGE('',*,*,#15812,.T.); #21677=ORIENTED_EDGE('',*,*,#15813,.T.); #21678=ORIENTED_EDGE('',*,*,#15809,.F.); #21679=ORIENTED_EDGE('',*,*,#15814,.F.); #21680=ORIENTED_EDGE('',*,*,#15815,.T.); #21681=ORIENTED_EDGE('',*,*,#15816,.T.); #21682=ORIENTED_EDGE('',*,*,#15812,.F.); #21683=ORIENTED_EDGE('',*,*,#15817,.F.); #21684=ORIENTED_EDGE('',*,*,#15818,.T.); #21685=ORIENTED_EDGE('',*,*,#15819,.T.); #21686=ORIENTED_EDGE('',*,*,#15815,.F.); #21687=ORIENTED_EDGE('',*,*,#15820,.F.); #21688=ORIENTED_EDGE('',*,*,#15821,.T.); #21689=ORIENTED_EDGE('',*,*,#15822,.T.); #21690=ORIENTED_EDGE('',*,*,#15818,.F.); #21691=ORIENTED_EDGE('',*,*,#15823,.F.); #21692=ORIENTED_EDGE('',*,*,#15824,.T.); #21693=ORIENTED_EDGE('',*,*,#15825,.T.); #21694=ORIENTED_EDGE('',*,*,#15821,.F.); #21695=ORIENTED_EDGE('',*,*,#15826,.F.); #21696=ORIENTED_EDGE('',*,*,#15827,.T.); #21697=ORIENTED_EDGE('',*,*,#15828,.T.); #21698=ORIENTED_EDGE('',*,*,#15824,.F.); #21699=ORIENTED_EDGE('',*,*,#15829,.F.); #21700=ORIENTED_EDGE('',*,*,#15830,.T.); #21701=ORIENTED_EDGE('',*,*,#15831,.T.); #21702=ORIENTED_EDGE('',*,*,#15827,.F.); #21703=ORIENTED_EDGE('',*,*,#15832,.F.); #21704=ORIENTED_EDGE('',*,*,#15833,.T.); #21705=ORIENTED_EDGE('',*,*,#15834,.T.); #21706=ORIENTED_EDGE('',*,*,#15830,.F.); #21707=ORIENTED_EDGE('',*,*,#15835,.F.); #21708=ORIENTED_EDGE('',*,*,#15836,.T.); #21709=ORIENTED_EDGE('',*,*,#15837,.T.); #21710=ORIENTED_EDGE('',*,*,#15833,.F.); #21711=ORIENTED_EDGE('',*,*,#15838,.F.); #21712=ORIENTED_EDGE('',*,*,#15839,.T.); #21713=ORIENTED_EDGE('',*,*,#15840,.T.); #21714=ORIENTED_EDGE('',*,*,#15836,.F.); #21715=ORIENTED_EDGE('',*,*,#15841,.F.); #21716=ORIENTED_EDGE('',*,*,#15842,.T.); #21717=ORIENTED_EDGE('',*,*,#15843,.T.); #21718=ORIENTED_EDGE('',*,*,#15839,.F.); #21719=ORIENTED_EDGE('',*,*,#15844,.F.); #21720=ORIENTED_EDGE('',*,*,#15845,.T.); #21721=ORIENTED_EDGE('',*,*,#15846,.T.); #21722=ORIENTED_EDGE('',*,*,#15842,.F.); #21723=ORIENTED_EDGE('',*,*,#15847,.F.); #21724=ORIENTED_EDGE('',*,*,#15848,.T.); #21725=ORIENTED_EDGE('',*,*,#15849,.T.); #21726=ORIENTED_EDGE('',*,*,#15845,.F.); #21727=ORIENTED_EDGE('',*,*,#15850,.F.); #21728=ORIENTED_EDGE('',*,*,#15851,.T.); #21729=ORIENTED_EDGE('',*,*,#15852,.T.); #21730=ORIENTED_EDGE('',*,*,#15848,.F.); #21731=ORIENTED_EDGE('',*,*,#15853,.F.); #21732=ORIENTED_EDGE('',*,*,#15854,.T.); #21733=ORIENTED_EDGE('',*,*,#15855,.T.); #21734=ORIENTED_EDGE('',*,*,#15851,.F.); #21735=ORIENTED_EDGE('',*,*,#15856,.F.); #21736=ORIENTED_EDGE('',*,*,#15857,.T.); #21737=ORIENTED_EDGE('',*,*,#15858,.T.); #21738=ORIENTED_EDGE('',*,*,#15854,.F.); #21739=ORIENTED_EDGE('',*,*,#15859,.F.); #21740=ORIENTED_EDGE('',*,*,#15860,.T.); #21741=ORIENTED_EDGE('',*,*,#15861,.T.); #21742=ORIENTED_EDGE('',*,*,#15857,.F.); #21743=ORIENTED_EDGE('',*,*,#15862,.F.); #21744=ORIENTED_EDGE('',*,*,#15863,.T.); #21745=ORIENTED_EDGE('',*,*,#15864,.T.); #21746=ORIENTED_EDGE('',*,*,#15860,.F.); #21747=ORIENTED_EDGE('',*,*,#15865,.F.); #21748=ORIENTED_EDGE('',*,*,#15866,.T.); #21749=ORIENTED_EDGE('',*,*,#15867,.T.); #21750=ORIENTED_EDGE('',*,*,#15863,.F.); #21751=ORIENTED_EDGE('',*,*,#15868,.F.); #21752=ORIENTED_EDGE('',*,*,#15869,.T.); #21753=ORIENTED_EDGE('',*,*,#15870,.T.); #21754=ORIENTED_EDGE('',*,*,#15866,.F.); #21755=ORIENTED_EDGE('',*,*,#15871,.F.); #21756=ORIENTED_EDGE('',*,*,#15872,.T.); #21757=ORIENTED_EDGE('',*,*,#15873,.T.); #21758=ORIENTED_EDGE('',*,*,#15869,.F.); #21759=ORIENTED_EDGE('',*,*,#15874,.F.); #21760=ORIENTED_EDGE('',*,*,#15875,.T.); #21761=ORIENTED_EDGE('',*,*,#15876,.T.); #21762=ORIENTED_EDGE('',*,*,#15872,.F.); #21763=ORIENTED_EDGE('',*,*,#15877,.F.); #21764=ORIENTED_EDGE('',*,*,#15744,.T.); #21765=ORIENTED_EDGE('',*,*,#15878,.T.); #21766=ORIENTED_EDGE('',*,*,#15875,.F.); #21767=ORIENTED_EDGE('',*,*,#15878,.F.); #21768=ORIENTED_EDGE('',*,*,#15743,.F.); #21769=ORIENTED_EDGE('',*,*,#15747,.F.); #21770=ORIENTED_EDGE('',*,*,#15750,.F.); #21771=ORIENTED_EDGE('',*,*,#15753,.F.); #21772=ORIENTED_EDGE('',*,*,#15756,.F.); #21773=ORIENTED_EDGE('',*,*,#15759,.F.); #21774=ORIENTED_EDGE('',*,*,#15762,.F.); #21775=ORIENTED_EDGE('',*,*,#15765,.F.); #21776=ORIENTED_EDGE('',*,*,#15768,.F.); #21777=ORIENTED_EDGE('',*,*,#15771,.F.); #21778=ORIENTED_EDGE('',*,*,#15774,.F.); #21779=ORIENTED_EDGE('',*,*,#15777,.F.); #21780=ORIENTED_EDGE('',*,*,#15780,.F.); #21781=ORIENTED_EDGE('',*,*,#15783,.F.); #21782=ORIENTED_EDGE('',*,*,#15786,.F.); #21783=ORIENTED_EDGE('',*,*,#15789,.F.); #21784=ORIENTED_EDGE('',*,*,#15792,.F.); #21785=ORIENTED_EDGE('',*,*,#15795,.F.); #21786=ORIENTED_EDGE('',*,*,#15798,.F.); #21787=ORIENTED_EDGE('',*,*,#15801,.F.); #21788=ORIENTED_EDGE('',*,*,#15804,.F.); #21789=ORIENTED_EDGE('',*,*,#15807,.F.); #21790=ORIENTED_EDGE('',*,*,#15810,.F.); #21791=ORIENTED_EDGE('',*,*,#15813,.F.); #21792=ORIENTED_EDGE('',*,*,#15816,.F.); #21793=ORIENTED_EDGE('',*,*,#15819,.F.); #21794=ORIENTED_EDGE('',*,*,#15822,.F.); #21795=ORIENTED_EDGE('',*,*,#15825,.F.); #21796=ORIENTED_EDGE('',*,*,#15828,.F.); #21797=ORIENTED_EDGE('',*,*,#15831,.F.); #21798=ORIENTED_EDGE('',*,*,#15834,.F.); #21799=ORIENTED_EDGE('',*,*,#15837,.F.); #21800=ORIENTED_EDGE('',*,*,#15840,.F.); #21801=ORIENTED_EDGE('',*,*,#15843,.F.); #21802=ORIENTED_EDGE('',*,*,#15846,.F.); #21803=ORIENTED_EDGE('',*,*,#15849,.F.); #21804=ORIENTED_EDGE('',*,*,#15852,.F.); #21805=ORIENTED_EDGE('',*,*,#15855,.F.); #21806=ORIENTED_EDGE('',*,*,#15858,.F.); #21807=ORIENTED_EDGE('',*,*,#15861,.F.); #21808=ORIENTED_EDGE('',*,*,#15864,.F.); #21809=ORIENTED_EDGE('',*,*,#15867,.F.); #21810=ORIENTED_EDGE('',*,*,#15870,.F.); #21811=ORIENTED_EDGE('',*,*,#15873,.F.); #21812=ORIENTED_EDGE('',*,*,#15876,.F.); #21813=ORIENTED_EDGE('',*,*,#15879,.F.); #21814=ORIENTED_EDGE('',*,*,#15880,.T.); #21815=ORIENTED_EDGE('',*,*,#15881,.T.); #21816=ORIENTED_EDGE('',*,*,#15882,.F.); #21817=ORIENTED_EDGE('',*,*,#15883,.F.); #21818=ORIENTED_EDGE('',*,*,#15884,.T.); #21819=ORIENTED_EDGE('',*,*,#15885,.T.); #21820=ORIENTED_EDGE('',*,*,#15880,.F.); #21821=ORIENTED_EDGE('',*,*,#15886,.F.); #21822=ORIENTED_EDGE('',*,*,#15887,.T.); #21823=ORIENTED_EDGE('',*,*,#15888,.T.); #21824=ORIENTED_EDGE('',*,*,#15884,.F.); #21825=ORIENTED_EDGE('',*,*,#15889,.F.); #21826=ORIENTED_EDGE('',*,*,#15890,.T.); #21827=ORIENTED_EDGE('',*,*,#15891,.T.); #21828=ORIENTED_EDGE('',*,*,#15887,.F.); #21829=ORIENTED_EDGE('',*,*,#15892,.F.); #21830=ORIENTED_EDGE('',*,*,#15893,.T.); #21831=ORIENTED_EDGE('',*,*,#15894,.T.); #21832=ORIENTED_EDGE('',*,*,#15890,.F.); #21833=ORIENTED_EDGE('',*,*,#15895,.F.); #21834=ORIENTED_EDGE('',*,*,#15896,.T.); #21835=ORIENTED_EDGE('',*,*,#15897,.T.); #21836=ORIENTED_EDGE('',*,*,#15893,.F.); #21837=ORIENTED_EDGE('',*,*,#15898,.F.); #21838=ORIENTED_EDGE('',*,*,#15899,.T.); #21839=ORIENTED_EDGE('',*,*,#15900,.T.); #21840=ORIENTED_EDGE('',*,*,#15896,.F.); #21841=ORIENTED_EDGE('',*,*,#15901,.F.); #21842=ORIENTED_EDGE('',*,*,#15902,.T.); #21843=ORIENTED_EDGE('',*,*,#15903,.T.); #21844=ORIENTED_EDGE('',*,*,#15899,.F.); #21845=ORIENTED_EDGE('',*,*,#15904,.F.); #21846=ORIENTED_EDGE('',*,*,#15905,.T.); #21847=ORIENTED_EDGE('',*,*,#15906,.T.); #21848=ORIENTED_EDGE('',*,*,#15902,.F.); #21849=ORIENTED_EDGE('',*,*,#15907,.F.); #21850=ORIENTED_EDGE('',*,*,#15908,.T.); #21851=ORIENTED_EDGE('',*,*,#15909,.T.); #21852=ORIENTED_EDGE('',*,*,#15905,.F.); #21853=ORIENTED_EDGE('',*,*,#15910,.F.); #21854=ORIENTED_EDGE('',*,*,#15911,.T.); #21855=ORIENTED_EDGE('',*,*,#15912,.T.); #21856=ORIENTED_EDGE('',*,*,#15908,.F.); #21857=ORIENTED_EDGE('',*,*,#15913,.F.); #21858=ORIENTED_EDGE('',*,*,#15914,.T.); #21859=ORIENTED_EDGE('',*,*,#15915,.T.); #21860=ORIENTED_EDGE('',*,*,#15911,.F.); #21861=ORIENTED_EDGE('',*,*,#15916,.F.); #21862=ORIENTED_EDGE('',*,*,#15917,.T.); #21863=ORIENTED_EDGE('',*,*,#15918,.T.); #21864=ORIENTED_EDGE('',*,*,#15914,.F.); #21865=ORIENTED_EDGE('',*,*,#15919,.F.); #21866=ORIENTED_EDGE('',*,*,#15920,.T.); #21867=ORIENTED_EDGE('',*,*,#15921,.T.); #21868=ORIENTED_EDGE('',*,*,#15917,.F.); #21869=ORIENTED_EDGE('',*,*,#15922,.F.); #21870=ORIENTED_EDGE('',*,*,#15923,.T.); #21871=ORIENTED_EDGE('',*,*,#15924,.T.); #21872=ORIENTED_EDGE('',*,*,#15920,.F.); #21873=ORIENTED_EDGE('',*,*,#15925,.F.); #21874=ORIENTED_EDGE('',*,*,#15926,.T.); #21875=ORIENTED_EDGE('',*,*,#15927,.T.); #21876=ORIENTED_EDGE('',*,*,#15923,.F.); #21877=ORIENTED_EDGE('',*,*,#15928,.F.); #21878=ORIENTED_EDGE('',*,*,#15929,.T.); #21879=ORIENTED_EDGE('',*,*,#15930,.T.); #21880=ORIENTED_EDGE('',*,*,#15926,.F.); #21881=ORIENTED_EDGE('',*,*,#15931,.F.); #21882=ORIENTED_EDGE('',*,*,#15932,.T.); #21883=ORIENTED_EDGE('',*,*,#15933,.T.); #21884=ORIENTED_EDGE('',*,*,#15929,.F.); #21885=ORIENTED_EDGE('',*,*,#15934,.F.); #21886=ORIENTED_EDGE('',*,*,#15935,.T.); #21887=ORIENTED_EDGE('',*,*,#15936,.T.); #21888=ORIENTED_EDGE('',*,*,#15932,.F.); #21889=ORIENTED_EDGE('',*,*,#15937,.F.); #21890=ORIENTED_EDGE('',*,*,#15938,.T.); #21891=ORIENTED_EDGE('',*,*,#15939,.T.); #21892=ORIENTED_EDGE('',*,*,#15935,.F.); #21893=ORIENTED_EDGE('',*,*,#15940,.F.); #21894=ORIENTED_EDGE('',*,*,#15941,.T.); #21895=ORIENTED_EDGE('',*,*,#15942,.T.); #21896=ORIENTED_EDGE('',*,*,#15938,.F.); #21897=ORIENTED_EDGE('',*,*,#15943,.F.); #21898=ORIENTED_EDGE('',*,*,#15944,.T.); #21899=ORIENTED_EDGE('',*,*,#15945,.T.); #21900=ORIENTED_EDGE('',*,*,#15941,.F.); #21901=ORIENTED_EDGE('',*,*,#15946,.F.); #21902=ORIENTED_EDGE('',*,*,#15947,.T.); #21903=ORIENTED_EDGE('',*,*,#15948,.T.); #21904=ORIENTED_EDGE('',*,*,#15944,.F.); #21905=ORIENTED_EDGE('',*,*,#15949,.F.); #21906=ORIENTED_EDGE('',*,*,#15882,.T.); #21907=ORIENTED_EDGE('',*,*,#15950,.T.); #21908=ORIENTED_EDGE('',*,*,#15947,.F.); #21909=ORIENTED_EDGE('',*,*,#15950,.F.); #21910=ORIENTED_EDGE('',*,*,#15881,.F.); #21911=ORIENTED_EDGE('',*,*,#15885,.F.); #21912=ORIENTED_EDGE('',*,*,#15888,.F.); #21913=ORIENTED_EDGE('',*,*,#15891,.F.); #21914=ORIENTED_EDGE('',*,*,#15894,.F.); #21915=ORIENTED_EDGE('',*,*,#15897,.F.); #21916=ORIENTED_EDGE('',*,*,#15900,.F.); #21917=ORIENTED_EDGE('',*,*,#15903,.F.); #21918=ORIENTED_EDGE('',*,*,#15906,.F.); #21919=ORIENTED_EDGE('',*,*,#15909,.F.); #21920=ORIENTED_EDGE('',*,*,#15912,.F.); #21921=ORIENTED_EDGE('',*,*,#15915,.F.); #21922=ORIENTED_EDGE('',*,*,#15918,.F.); #21923=ORIENTED_EDGE('',*,*,#15921,.F.); #21924=ORIENTED_EDGE('',*,*,#15924,.F.); #21925=ORIENTED_EDGE('',*,*,#15927,.F.); #21926=ORIENTED_EDGE('',*,*,#15930,.F.); #21927=ORIENTED_EDGE('',*,*,#15933,.F.); #21928=ORIENTED_EDGE('',*,*,#15936,.F.); #21929=ORIENTED_EDGE('',*,*,#15939,.F.); #21930=ORIENTED_EDGE('',*,*,#15942,.F.); #21931=ORIENTED_EDGE('',*,*,#15945,.F.); #21932=ORIENTED_EDGE('',*,*,#15948,.F.); #21933=ORIENTED_EDGE('',*,*,#15951,.F.); #21934=ORIENTED_EDGE('',*,*,#15952,.T.); #21935=ORIENTED_EDGE('',*,*,#15953,.T.); #21936=ORIENTED_EDGE('',*,*,#15954,.F.); #21937=ORIENTED_EDGE('',*,*,#15955,.F.); #21938=ORIENTED_EDGE('',*,*,#15956,.T.); #21939=ORIENTED_EDGE('',*,*,#15957,.T.); #21940=ORIENTED_EDGE('',*,*,#15952,.F.); #21941=ORIENTED_EDGE('',*,*,#15958,.F.); #21942=ORIENTED_EDGE('',*,*,#15959,.T.); #21943=ORIENTED_EDGE('',*,*,#15960,.T.); #21944=ORIENTED_EDGE('',*,*,#15956,.F.); #21945=ORIENTED_EDGE('',*,*,#15961,.F.); #21946=ORIENTED_EDGE('',*,*,#15962,.T.); #21947=ORIENTED_EDGE('',*,*,#15963,.T.); #21948=ORIENTED_EDGE('',*,*,#15959,.F.); #21949=ORIENTED_EDGE('',*,*,#15964,.F.); #21950=ORIENTED_EDGE('',*,*,#15965,.T.); #21951=ORIENTED_EDGE('',*,*,#15966,.T.); #21952=ORIENTED_EDGE('',*,*,#15962,.F.); #21953=ORIENTED_EDGE('',*,*,#15967,.F.); #21954=ORIENTED_EDGE('',*,*,#15968,.T.); #21955=ORIENTED_EDGE('',*,*,#15969,.T.); #21956=ORIENTED_EDGE('',*,*,#15965,.F.); #21957=ORIENTED_EDGE('',*,*,#15970,.F.); #21958=ORIENTED_EDGE('',*,*,#15971,.T.); #21959=ORIENTED_EDGE('',*,*,#15972,.T.); #21960=ORIENTED_EDGE('',*,*,#15968,.F.); #21961=ORIENTED_EDGE('',*,*,#15973,.F.); #21962=ORIENTED_EDGE('',*,*,#15974,.T.); #21963=ORIENTED_EDGE('',*,*,#15975,.T.); #21964=ORIENTED_EDGE('',*,*,#15971,.F.); #21965=ORIENTED_EDGE('',*,*,#15976,.F.); #21966=ORIENTED_EDGE('',*,*,#15954,.T.); #21967=ORIENTED_EDGE('',*,*,#15977,.T.); #21968=ORIENTED_EDGE('',*,*,#15974,.F.); #21969=ORIENTED_EDGE('',*,*,#15978,.F.); #21970=ORIENTED_EDGE('',*,*,#15979,.T.); #21971=ORIENTED_EDGE('',*,*,#15980,.T.); #21972=ORIENTED_EDGE('',*,*,#15981,.F.); #21973=ORIENTED_EDGE('',*,*,#15982,.F.); #21974=ORIENTED_EDGE('',*,*,#15983,.T.); #21975=ORIENTED_EDGE('',*,*,#15984,.T.); #21976=ORIENTED_EDGE('',*,*,#15979,.F.); #21977=ORIENTED_EDGE('',*,*,#15985,.F.); #21978=ORIENTED_EDGE('',*,*,#15986,.T.); #21979=ORIENTED_EDGE('',*,*,#15987,.T.); #21980=ORIENTED_EDGE('',*,*,#15983,.F.); #21981=ORIENTED_EDGE('',*,*,#15988,.F.); #21982=ORIENTED_EDGE('',*,*,#15989,.T.); #21983=ORIENTED_EDGE('',*,*,#15990,.T.); #21984=ORIENTED_EDGE('',*,*,#15986,.F.); #21985=ORIENTED_EDGE('',*,*,#15991,.F.); #21986=ORIENTED_EDGE('',*,*,#15992,.T.); #21987=ORIENTED_EDGE('',*,*,#15993,.T.); #21988=ORIENTED_EDGE('',*,*,#15989,.F.); #21989=ORIENTED_EDGE('',*,*,#15994,.F.); #21990=ORIENTED_EDGE('',*,*,#15995,.T.); #21991=ORIENTED_EDGE('',*,*,#15996,.T.); #21992=ORIENTED_EDGE('',*,*,#15992,.F.); #21993=ORIENTED_EDGE('',*,*,#15997,.F.); #21994=ORIENTED_EDGE('',*,*,#15998,.T.); #21995=ORIENTED_EDGE('',*,*,#15999,.T.); #21996=ORIENTED_EDGE('',*,*,#15995,.F.); #21997=ORIENTED_EDGE('',*,*,#16000,.F.); #21998=ORIENTED_EDGE('',*,*,#16001,.T.); #21999=ORIENTED_EDGE('',*,*,#16002,.T.); #22000=ORIENTED_EDGE('',*,*,#15998,.F.); #22001=ORIENTED_EDGE('',*,*,#16003,.F.); #22002=ORIENTED_EDGE('',*,*,#16004,.T.); #22003=ORIENTED_EDGE('',*,*,#16005,.T.); #22004=ORIENTED_EDGE('',*,*,#16001,.F.); #22005=ORIENTED_EDGE('',*,*,#16006,.F.); #22006=ORIENTED_EDGE('',*,*,#16007,.T.); #22007=ORIENTED_EDGE('',*,*,#16008,.T.); #22008=ORIENTED_EDGE('',*,*,#16004,.F.); #22009=ORIENTED_EDGE('',*,*,#16009,.F.); #22010=ORIENTED_EDGE('',*,*,#16010,.T.); #22011=ORIENTED_EDGE('',*,*,#16011,.T.); #22012=ORIENTED_EDGE('',*,*,#16007,.F.); #22013=ORIENTED_EDGE('',*,*,#16012,.F.); #22014=ORIENTED_EDGE('',*,*,#16013,.T.); #22015=ORIENTED_EDGE('',*,*,#16014,.T.); #22016=ORIENTED_EDGE('',*,*,#16010,.F.); #22017=ORIENTED_EDGE('',*,*,#16015,.F.); #22018=ORIENTED_EDGE('',*,*,#16016,.T.); #22019=ORIENTED_EDGE('',*,*,#16017,.T.); #22020=ORIENTED_EDGE('',*,*,#16013,.F.); #22021=ORIENTED_EDGE('',*,*,#16018,.F.); #22022=ORIENTED_EDGE('',*,*,#16019,.T.); #22023=ORIENTED_EDGE('',*,*,#16020,.T.); #22024=ORIENTED_EDGE('',*,*,#16016,.F.); #22025=ORIENTED_EDGE('',*,*,#16021,.F.); #22026=ORIENTED_EDGE('',*,*,#16022,.T.); #22027=ORIENTED_EDGE('',*,*,#16023,.T.); #22028=ORIENTED_EDGE('',*,*,#16019,.F.); #22029=ORIENTED_EDGE('',*,*,#16024,.F.); #22030=ORIENTED_EDGE('',*,*,#16025,.T.); #22031=ORIENTED_EDGE('',*,*,#16026,.T.); #22032=ORIENTED_EDGE('',*,*,#16022,.F.); #22033=ORIENTED_EDGE('',*,*,#16027,.F.); #22034=ORIENTED_EDGE('',*,*,#16028,.T.); #22035=ORIENTED_EDGE('',*,*,#16029,.T.); #22036=ORIENTED_EDGE('',*,*,#16025,.F.); #22037=ORIENTED_EDGE('',*,*,#16030,.F.); #22038=ORIENTED_EDGE('',*,*,#16031,.T.); #22039=ORIENTED_EDGE('',*,*,#16032,.T.); #22040=ORIENTED_EDGE('',*,*,#16028,.F.); #22041=ORIENTED_EDGE('',*,*,#16033,.F.); #22042=ORIENTED_EDGE('',*,*,#16034,.T.); #22043=ORIENTED_EDGE('',*,*,#16035,.T.); #22044=ORIENTED_EDGE('',*,*,#16031,.F.); #22045=ORIENTED_EDGE('',*,*,#16036,.F.); #22046=ORIENTED_EDGE('',*,*,#16037,.T.); #22047=ORIENTED_EDGE('',*,*,#16038,.T.); #22048=ORIENTED_EDGE('',*,*,#16034,.F.); #22049=ORIENTED_EDGE('',*,*,#16039,.F.); #22050=ORIENTED_EDGE('',*,*,#16040,.T.); #22051=ORIENTED_EDGE('',*,*,#16041,.T.); #22052=ORIENTED_EDGE('',*,*,#16037,.F.); #22053=ORIENTED_EDGE('',*,*,#16042,.F.); #22054=ORIENTED_EDGE('',*,*,#16043,.T.); #22055=ORIENTED_EDGE('',*,*,#16044,.T.); #22056=ORIENTED_EDGE('',*,*,#16040,.F.); #22057=ORIENTED_EDGE('',*,*,#16045,.F.); #22058=ORIENTED_EDGE('',*,*,#16046,.T.); #22059=ORIENTED_EDGE('',*,*,#16047,.T.); #22060=ORIENTED_EDGE('',*,*,#16043,.F.); #22061=ORIENTED_EDGE('',*,*,#16048,.F.); #22062=ORIENTED_EDGE('',*,*,#16049,.T.); #22063=ORIENTED_EDGE('',*,*,#16050,.T.); #22064=ORIENTED_EDGE('',*,*,#16046,.F.); #22065=ORIENTED_EDGE('',*,*,#16051,.F.); #22066=ORIENTED_EDGE('',*,*,#16052,.T.); #22067=ORIENTED_EDGE('',*,*,#16053,.T.); #22068=ORIENTED_EDGE('',*,*,#16049,.F.); #22069=ORIENTED_EDGE('',*,*,#16054,.F.); #22070=ORIENTED_EDGE('',*,*,#16055,.T.); #22071=ORIENTED_EDGE('',*,*,#16056,.T.); #22072=ORIENTED_EDGE('',*,*,#16052,.F.); #22073=ORIENTED_EDGE('',*,*,#16057,.F.); #22074=ORIENTED_EDGE('',*,*,#16058,.T.); #22075=ORIENTED_EDGE('',*,*,#16059,.T.); #22076=ORIENTED_EDGE('',*,*,#16055,.F.); #22077=ORIENTED_EDGE('',*,*,#16060,.F.); #22078=ORIENTED_EDGE('',*,*,#16061,.T.); #22079=ORIENTED_EDGE('',*,*,#16062,.T.); #22080=ORIENTED_EDGE('',*,*,#16058,.F.); #22081=ORIENTED_EDGE('',*,*,#16063,.F.); #22082=ORIENTED_EDGE('',*,*,#16064,.T.); #22083=ORIENTED_EDGE('',*,*,#16065,.T.); #22084=ORIENTED_EDGE('',*,*,#16061,.F.); #22085=ORIENTED_EDGE('',*,*,#16066,.F.); #22086=ORIENTED_EDGE('',*,*,#16067,.T.); #22087=ORIENTED_EDGE('',*,*,#16068,.T.); #22088=ORIENTED_EDGE('',*,*,#16064,.F.); #22089=ORIENTED_EDGE('',*,*,#16069,.F.); #22090=ORIENTED_EDGE('',*,*,#15981,.T.); #22091=ORIENTED_EDGE('',*,*,#16070,.T.); #22092=ORIENTED_EDGE('',*,*,#16067,.F.); #22093=ORIENTED_EDGE('',*,*,#16070,.F.); #22094=ORIENTED_EDGE('',*,*,#15980,.F.); #22095=ORIENTED_EDGE('',*,*,#15984,.F.); #22096=ORIENTED_EDGE('',*,*,#15987,.F.); #22097=ORIENTED_EDGE('',*,*,#15990,.F.); #22098=ORIENTED_EDGE('',*,*,#15993,.F.); #22099=ORIENTED_EDGE('',*,*,#15996,.F.); #22100=ORIENTED_EDGE('',*,*,#15999,.F.); #22101=ORIENTED_EDGE('',*,*,#16002,.F.); #22102=ORIENTED_EDGE('',*,*,#16005,.F.); #22103=ORIENTED_EDGE('',*,*,#16008,.F.); #22104=ORIENTED_EDGE('',*,*,#16011,.F.); #22105=ORIENTED_EDGE('',*,*,#16014,.F.); #22106=ORIENTED_EDGE('',*,*,#16017,.F.); #22107=ORIENTED_EDGE('',*,*,#16020,.F.); #22108=ORIENTED_EDGE('',*,*,#16023,.F.); #22109=ORIENTED_EDGE('',*,*,#16026,.F.); #22110=ORIENTED_EDGE('',*,*,#16029,.F.); #22111=ORIENTED_EDGE('',*,*,#16032,.F.); #22112=ORIENTED_EDGE('',*,*,#16035,.F.); #22113=ORIENTED_EDGE('',*,*,#16038,.F.); #22114=ORIENTED_EDGE('',*,*,#16041,.F.); #22115=ORIENTED_EDGE('',*,*,#16044,.F.); #22116=ORIENTED_EDGE('',*,*,#16047,.F.); #22117=ORIENTED_EDGE('',*,*,#16050,.F.); #22118=ORIENTED_EDGE('',*,*,#16053,.F.); #22119=ORIENTED_EDGE('',*,*,#16056,.F.); #22120=ORIENTED_EDGE('',*,*,#16059,.F.); #22121=ORIENTED_EDGE('',*,*,#16062,.F.); #22122=ORIENTED_EDGE('',*,*,#16065,.F.); #22123=ORIENTED_EDGE('',*,*,#16068,.F.); #22124=ORIENTED_EDGE('',*,*,#15977,.F.); #22125=ORIENTED_EDGE('',*,*,#15953,.F.); #22126=ORIENTED_EDGE('',*,*,#15957,.F.); #22127=ORIENTED_EDGE('',*,*,#15960,.F.); #22128=ORIENTED_EDGE('',*,*,#15963,.F.); #22129=ORIENTED_EDGE('',*,*,#15966,.F.); #22130=ORIENTED_EDGE('',*,*,#15969,.F.); #22131=ORIENTED_EDGE('',*,*,#15972,.F.); #22132=ORIENTED_EDGE('',*,*,#15975,.F.); #22133=ORIENTED_EDGE('',*,*,#16071,.F.); #22134=ORIENTED_EDGE('',*,*,#16072,.T.); #22135=ORIENTED_EDGE('',*,*,#16073,.T.); #22136=ORIENTED_EDGE('',*,*,#16074,.F.); #22137=ORIENTED_EDGE('',*,*,#16075,.F.); #22138=ORIENTED_EDGE('',*,*,#16076,.T.); #22139=ORIENTED_EDGE('',*,*,#16077,.T.); #22140=ORIENTED_EDGE('',*,*,#16072,.F.); #22141=ORIENTED_EDGE('',*,*,#16078,.F.); #22142=ORIENTED_EDGE('',*,*,#16079,.T.); #22143=ORIENTED_EDGE('',*,*,#16080,.T.); #22144=ORIENTED_EDGE('',*,*,#16076,.F.); #22145=ORIENTED_EDGE('',*,*,#16081,.F.); #22146=ORIENTED_EDGE('',*,*,#16082,.T.); #22147=ORIENTED_EDGE('',*,*,#16083,.T.); #22148=ORIENTED_EDGE('',*,*,#16079,.F.); #22149=ORIENTED_EDGE('',*,*,#16084,.F.); #22150=ORIENTED_EDGE('',*,*,#16085,.T.); #22151=ORIENTED_EDGE('',*,*,#16086,.T.); #22152=ORIENTED_EDGE('',*,*,#16082,.F.); #22153=ORIENTED_EDGE('',*,*,#16087,.F.); #22154=ORIENTED_EDGE('',*,*,#16088,.T.); #22155=ORIENTED_EDGE('',*,*,#16089,.T.); #22156=ORIENTED_EDGE('',*,*,#16085,.F.); #22157=ORIENTED_EDGE('',*,*,#16090,.F.); #22158=ORIENTED_EDGE('',*,*,#16091,.T.); #22159=ORIENTED_EDGE('',*,*,#16092,.T.); #22160=ORIENTED_EDGE('',*,*,#16088,.F.); #22161=ORIENTED_EDGE('',*,*,#16093,.F.); #22162=ORIENTED_EDGE('',*,*,#16094,.T.); #22163=ORIENTED_EDGE('',*,*,#16095,.T.); #22164=ORIENTED_EDGE('',*,*,#16091,.F.); #22165=ORIENTED_EDGE('',*,*,#16096,.F.); #22166=ORIENTED_EDGE('',*,*,#16097,.T.); #22167=ORIENTED_EDGE('',*,*,#16098,.T.); #22168=ORIENTED_EDGE('',*,*,#16094,.F.); #22169=ORIENTED_EDGE('',*,*,#16099,.F.); #22170=ORIENTED_EDGE('',*,*,#16100,.T.); #22171=ORIENTED_EDGE('',*,*,#16101,.T.); #22172=ORIENTED_EDGE('',*,*,#16097,.F.); #22173=ORIENTED_EDGE('',*,*,#16102,.F.); #22174=ORIENTED_EDGE('',*,*,#16103,.T.); #22175=ORIENTED_EDGE('',*,*,#16104,.T.); #22176=ORIENTED_EDGE('',*,*,#16100,.F.); #22177=ORIENTED_EDGE('',*,*,#16105,.F.); #22178=ORIENTED_EDGE('',*,*,#16106,.T.); #22179=ORIENTED_EDGE('',*,*,#16107,.T.); #22180=ORIENTED_EDGE('',*,*,#16103,.F.); #22181=ORIENTED_EDGE('',*,*,#16108,.F.); #22182=ORIENTED_EDGE('',*,*,#16109,.T.); #22183=ORIENTED_EDGE('',*,*,#16110,.T.); #22184=ORIENTED_EDGE('',*,*,#16106,.F.); #22185=ORIENTED_EDGE('',*,*,#16111,.F.); #22186=ORIENTED_EDGE('',*,*,#16112,.T.); #22187=ORIENTED_EDGE('',*,*,#16113,.T.); #22188=ORIENTED_EDGE('',*,*,#16109,.F.); #22189=ORIENTED_EDGE('',*,*,#16114,.F.); #22190=ORIENTED_EDGE('',*,*,#16115,.T.); #22191=ORIENTED_EDGE('',*,*,#16116,.T.); #22192=ORIENTED_EDGE('',*,*,#16112,.F.); #22193=ORIENTED_EDGE('',*,*,#16117,.F.); #22194=ORIENTED_EDGE('',*,*,#16118,.T.); #22195=ORIENTED_EDGE('',*,*,#16119,.T.); #22196=ORIENTED_EDGE('',*,*,#16115,.F.); #22197=ORIENTED_EDGE('',*,*,#16120,.F.); #22198=ORIENTED_EDGE('',*,*,#16121,.T.); #22199=ORIENTED_EDGE('',*,*,#16122,.T.); #22200=ORIENTED_EDGE('',*,*,#16118,.F.); #22201=ORIENTED_EDGE('',*,*,#16123,.F.); #22202=ORIENTED_EDGE('',*,*,#16124,.T.); #22203=ORIENTED_EDGE('',*,*,#16125,.T.); #22204=ORIENTED_EDGE('',*,*,#16121,.F.); #22205=ORIENTED_EDGE('',*,*,#16126,.F.); #22206=ORIENTED_EDGE('',*,*,#16127,.T.); #22207=ORIENTED_EDGE('',*,*,#16128,.T.); #22208=ORIENTED_EDGE('',*,*,#16124,.F.); #22209=ORIENTED_EDGE('',*,*,#16129,.F.); #22210=ORIENTED_EDGE('',*,*,#16130,.T.); #22211=ORIENTED_EDGE('',*,*,#16131,.T.); #22212=ORIENTED_EDGE('',*,*,#16127,.F.); #22213=ORIENTED_EDGE('',*,*,#16132,.F.); #22214=ORIENTED_EDGE('',*,*,#16133,.T.); #22215=ORIENTED_EDGE('',*,*,#16134,.T.); #22216=ORIENTED_EDGE('',*,*,#16130,.F.); #22217=ORIENTED_EDGE('',*,*,#16135,.F.); #22218=ORIENTED_EDGE('',*,*,#16136,.T.); #22219=ORIENTED_EDGE('',*,*,#16137,.T.); #22220=ORIENTED_EDGE('',*,*,#16133,.F.); #22221=ORIENTED_EDGE('',*,*,#16138,.F.); #22222=ORIENTED_EDGE('',*,*,#16139,.T.); #22223=ORIENTED_EDGE('',*,*,#16140,.T.); #22224=ORIENTED_EDGE('',*,*,#16136,.F.); #22225=ORIENTED_EDGE('',*,*,#16141,.F.); #22226=ORIENTED_EDGE('',*,*,#16142,.T.); #22227=ORIENTED_EDGE('',*,*,#16143,.T.); #22228=ORIENTED_EDGE('',*,*,#16139,.F.); #22229=ORIENTED_EDGE('',*,*,#16144,.F.); #22230=ORIENTED_EDGE('',*,*,#16145,.T.); #22231=ORIENTED_EDGE('',*,*,#16146,.T.); #22232=ORIENTED_EDGE('',*,*,#16142,.F.); #22233=ORIENTED_EDGE('',*,*,#16147,.F.); #22234=ORIENTED_EDGE('',*,*,#16148,.T.); #22235=ORIENTED_EDGE('',*,*,#16149,.T.); #22236=ORIENTED_EDGE('',*,*,#16145,.F.); #22237=ORIENTED_EDGE('',*,*,#16150,.F.); #22238=ORIENTED_EDGE('',*,*,#16151,.T.); #22239=ORIENTED_EDGE('',*,*,#16152,.T.); #22240=ORIENTED_EDGE('',*,*,#16148,.F.); #22241=ORIENTED_EDGE('',*,*,#16153,.F.); #22242=ORIENTED_EDGE('',*,*,#16154,.T.); #22243=ORIENTED_EDGE('',*,*,#16155,.T.); #22244=ORIENTED_EDGE('',*,*,#16151,.F.); #22245=ORIENTED_EDGE('',*,*,#16156,.F.); #22246=ORIENTED_EDGE('',*,*,#16157,.T.); #22247=ORIENTED_EDGE('',*,*,#16158,.T.); #22248=ORIENTED_EDGE('',*,*,#16154,.F.); #22249=ORIENTED_EDGE('',*,*,#16159,.F.); #22250=ORIENTED_EDGE('',*,*,#16160,.T.); #22251=ORIENTED_EDGE('',*,*,#16161,.T.); #22252=ORIENTED_EDGE('',*,*,#16157,.F.); #22253=ORIENTED_EDGE('',*,*,#16162,.F.); #22254=ORIENTED_EDGE('',*,*,#16163,.T.); #22255=ORIENTED_EDGE('',*,*,#16164,.T.); #22256=ORIENTED_EDGE('',*,*,#16160,.F.); #22257=ORIENTED_EDGE('',*,*,#16165,.F.); #22258=ORIENTED_EDGE('',*,*,#16166,.T.); #22259=ORIENTED_EDGE('',*,*,#16167,.T.); #22260=ORIENTED_EDGE('',*,*,#16163,.F.); #22261=ORIENTED_EDGE('',*,*,#16168,.F.); #22262=ORIENTED_EDGE('',*,*,#16169,.T.); #22263=ORIENTED_EDGE('',*,*,#16170,.T.); #22264=ORIENTED_EDGE('',*,*,#16166,.F.); #22265=ORIENTED_EDGE('',*,*,#16171,.F.); #22266=ORIENTED_EDGE('',*,*,#16172,.T.); #22267=ORIENTED_EDGE('',*,*,#16173,.T.); #22268=ORIENTED_EDGE('',*,*,#16169,.F.); #22269=ORIENTED_EDGE('',*,*,#16174,.F.); #22270=ORIENTED_EDGE('',*,*,#16175,.T.); #22271=ORIENTED_EDGE('',*,*,#16176,.T.); #22272=ORIENTED_EDGE('',*,*,#16172,.F.); #22273=ORIENTED_EDGE('',*,*,#16177,.F.); #22274=ORIENTED_EDGE('',*,*,#16178,.T.); #22275=ORIENTED_EDGE('',*,*,#16179,.T.); #22276=ORIENTED_EDGE('',*,*,#16175,.F.); #22277=ORIENTED_EDGE('',*,*,#16180,.F.); #22278=ORIENTED_EDGE('',*,*,#16074,.T.); #22279=ORIENTED_EDGE('',*,*,#16181,.T.); #22280=ORIENTED_EDGE('',*,*,#16178,.F.); #22281=ORIENTED_EDGE('',*,*,#16181,.F.); #22282=ORIENTED_EDGE('',*,*,#16073,.F.); #22283=ORIENTED_EDGE('',*,*,#16077,.F.); #22284=ORIENTED_EDGE('',*,*,#16080,.F.); #22285=ORIENTED_EDGE('',*,*,#16083,.F.); #22286=ORIENTED_EDGE('',*,*,#16086,.F.); #22287=ORIENTED_EDGE('',*,*,#16089,.F.); #22288=ORIENTED_EDGE('',*,*,#16092,.F.); #22289=ORIENTED_EDGE('',*,*,#16095,.F.); #22290=ORIENTED_EDGE('',*,*,#16098,.F.); #22291=ORIENTED_EDGE('',*,*,#16101,.F.); #22292=ORIENTED_EDGE('',*,*,#16104,.F.); #22293=ORIENTED_EDGE('',*,*,#16107,.F.); #22294=ORIENTED_EDGE('',*,*,#16110,.F.); #22295=ORIENTED_EDGE('',*,*,#16113,.F.); #22296=ORIENTED_EDGE('',*,*,#16116,.F.); #22297=ORIENTED_EDGE('',*,*,#16119,.F.); #22298=ORIENTED_EDGE('',*,*,#16122,.F.); #22299=ORIENTED_EDGE('',*,*,#16125,.F.); #22300=ORIENTED_EDGE('',*,*,#16128,.F.); #22301=ORIENTED_EDGE('',*,*,#16131,.F.); #22302=ORIENTED_EDGE('',*,*,#16134,.F.); #22303=ORIENTED_EDGE('',*,*,#16137,.F.); #22304=ORIENTED_EDGE('',*,*,#16140,.F.); #22305=ORIENTED_EDGE('',*,*,#16143,.F.); #22306=ORIENTED_EDGE('',*,*,#16146,.F.); #22307=ORIENTED_EDGE('',*,*,#16149,.F.); #22308=ORIENTED_EDGE('',*,*,#16152,.F.); #22309=ORIENTED_EDGE('',*,*,#16155,.F.); #22310=ORIENTED_EDGE('',*,*,#16158,.F.); #22311=ORIENTED_EDGE('',*,*,#16161,.F.); #22312=ORIENTED_EDGE('',*,*,#16164,.F.); #22313=ORIENTED_EDGE('',*,*,#16167,.F.); #22314=ORIENTED_EDGE('',*,*,#16170,.F.); #22315=ORIENTED_EDGE('',*,*,#16173,.F.); #22316=ORIENTED_EDGE('',*,*,#16176,.F.); #22317=ORIENTED_EDGE('',*,*,#16179,.F.); #22318=ORIENTED_EDGE('',*,*,#16182,.F.); #22319=ORIENTED_EDGE('',*,*,#16183,.T.); #22320=ORIENTED_EDGE('',*,*,#16184,.T.); #22321=ORIENTED_EDGE('',*,*,#16185,.F.); #22322=ORIENTED_EDGE('',*,*,#16186,.F.); #22323=ORIENTED_EDGE('',*,*,#16187,.T.); #22324=ORIENTED_EDGE('',*,*,#16188,.T.); #22325=ORIENTED_EDGE('',*,*,#16183,.F.); #22326=ORIENTED_EDGE('',*,*,#16189,.F.); #22327=ORIENTED_EDGE('',*,*,#16190,.T.); #22328=ORIENTED_EDGE('',*,*,#16191,.T.); #22329=ORIENTED_EDGE('',*,*,#16187,.F.); #22330=ORIENTED_EDGE('',*,*,#16192,.F.); #22331=ORIENTED_EDGE('',*,*,#16193,.T.); #22332=ORIENTED_EDGE('',*,*,#16194,.T.); #22333=ORIENTED_EDGE('',*,*,#16190,.F.); #22334=ORIENTED_EDGE('',*,*,#16195,.F.); #22335=ORIENTED_EDGE('',*,*,#16196,.T.); #22336=ORIENTED_EDGE('',*,*,#16197,.T.); #22337=ORIENTED_EDGE('',*,*,#16193,.F.); #22338=ORIENTED_EDGE('',*,*,#16198,.F.); #22339=ORIENTED_EDGE('',*,*,#16199,.T.); #22340=ORIENTED_EDGE('',*,*,#16200,.T.); #22341=ORIENTED_EDGE('',*,*,#16196,.F.); #22342=ORIENTED_EDGE('',*,*,#16201,.F.); #22343=ORIENTED_EDGE('',*,*,#16202,.T.); #22344=ORIENTED_EDGE('',*,*,#16203,.T.); #22345=ORIENTED_EDGE('',*,*,#16199,.F.); #22346=ORIENTED_EDGE('',*,*,#16204,.F.); #22347=ORIENTED_EDGE('',*,*,#16205,.T.); #22348=ORIENTED_EDGE('',*,*,#16206,.T.); #22349=ORIENTED_EDGE('',*,*,#16202,.F.); #22350=ORIENTED_EDGE('',*,*,#16207,.F.); #22351=ORIENTED_EDGE('',*,*,#16208,.T.); #22352=ORIENTED_EDGE('',*,*,#16209,.T.); #22353=ORIENTED_EDGE('',*,*,#16205,.F.); #22354=ORIENTED_EDGE('',*,*,#16210,.F.); #22355=ORIENTED_EDGE('',*,*,#16211,.T.); #22356=ORIENTED_EDGE('',*,*,#16212,.T.); #22357=ORIENTED_EDGE('',*,*,#16208,.F.); #22358=ORIENTED_EDGE('',*,*,#16213,.F.); #22359=ORIENTED_EDGE('',*,*,#16214,.T.); #22360=ORIENTED_EDGE('',*,*,#16215,.T.); #22361=ORIENTED_EDGE('',*,*,#16211,.F.); #22362=ORIENTED_EDGE('',*,*,#16216,.F.); #22363=ORIENTED_EDGE('',*,*,#16217,.T.); #22364=ORIENTED_EDGE('',*,*,#16218,.T.); #22365=ORIENTED_EDGE('',*,*,#16214,.F.); #22366=ORIENTED_EDGE('',*,*,#16219,.F.); #22367=ORIENTED_EDGE('',*,*,#16220,.T.); #22368=ORIENTED_EDGE('',*,*,#16221,.T.); #22369=ORIENTED_EDGE('',*,*,#16217,.F.); #22370=ORIENTED_EDGE('',*,*,#16222,.F.); #22371=ORIENTED_EDGE('',*,*,#16223,.T.); #22372=ORIENTED_EDGE('',*,*,#16224,.T.); #22373=ORIENTED_EDGE('',*,*,#16220,.F.); #22374=ORIENTED_EDGE('',*,*,#16225,.F.); #22375=ORIENTED_EDGE('',*,*,#16226,.T.); #22376=ORIENTED_EDGE('',*,*,#16227,.T.); #22377=ORIENTED_EDGE('',*,*,#16223,.F.); #22378=ORIENTED_EDGE('',*,*,#16228,.F.); #22379=ORIENTED_EDGE('',*,*,#16229,.T.); #22380=ORIENTED_EDGE('',*,*,#16230,.T.); #22381=ORIENTED_EDGE('',*,*,#16226,.F.); #22382=ORIENTED_EDGE('',*,*,#16231,.F.); #22383=ORIENTED_EDGE('',*,*,#16232,.T.); #22384=ORIENTED_EDGE('',*,*,#16233,.T.); #22385=ORIENTED_EDGE('',*,*,#16229,.F.); #22386=ORIENTED_EDGE('',*,*,#16234,.F.); #22387=ORIENTED_EDGE('',*,*,#16235,.T.); #22388=ORIENTED_EDGE('',*,*,#16236,.T.); #22389=ORIENTED_EDGE('',*,*,#16232,.F.); #22390=ORIENTED_EDGE('',*,*,#16237,.F.); #22391=ORIENTED_EDGE('',*,*,#16238,.T.); #22392=ORIENTED_EDGE('',*,*,#16239,.T.); #22393=ORIENTED_EDGE('',*,*,#16235,.F.); #22394=ORIENTED_EDGE('',*,*,#16240,.F.); #22395=ORIENTED_EDGE('',*,*,#16241,.T.); #22396=ORIENTED_EDGE('',*,*,#16242,.T.); #22397=ORIENTED_EDGE('',*,*,#16238,.F.); #22398=ORIENTED_EDGE('',*,*,#16243,.F.); #22399=ORIENTED_EDGE('',*,*,#16244,.T.); #22400=ORIENTED_EDGE('',*,*,#16245,.T.); #22401=ORIENTED_EDGE('',*,*,#16241,.F.); #22402=ORIENTED_EDGE('',*,*,#16246,.F.); #22403=ORIENTED_EDGE('',*,*,#16247,.T.); #22404=ORIENTED_EDGE('',*,*,#16248,.T.); #22405=ORIENTED_EDGE('',*,*,#16244,.F.); #22406=ORIENTED_EDGE('',*,*,#16249,.F.); #22407=ORIENTED_EDGE('',*,*,#16250,.T.); #22408=ORIENTED_EDGE('',*,*,#16251,.T.); #22409=ORIENTED_EDGE('',*,*,#16247,.F.); #22410=ORIENTED_EDGE('',*,*,#16252,.F.); #22411=ORIENTED_EDGE('',*,*,#16253,.T.); #22412=ORIENTED_EDGE('',*,*,#16254,.T.); #22413=ORIENTED_EDGE('',*,*,#16250,.F.); #22414=ORIENTED_EDGE('',*,*,#16255,.F.); #22415=ORIENTED_EDGE('',*,*,#16256,.T.); #22416=ORIENTED_EDGE('',*,*,#16257,.T.); #22417=ORIENTED_EDGE('',*,*,#16253,.F.); #22418=ORIENTED_EDGE('',*,*,#16258,.F.); #22419=ORIENTED_EDGE('',*,*,#16259,.T.); #22420=ORIENTED_EDGE('',*,*,#16260,.T.); #22421=ORIENTED_EDGE('',*,*,#16256,.F.); #22422=ORIENTED_EDGE('',*,*,#16261,.F.); #22423=ORIENTED_EDGE('',*,*,#16262,.T.); #22424=ORIENTED_EDGE('',*,*,#16263,.T.); #22425=ORIENTED_EDGE('',*,*,#16259,.F.); #22426=ORIENTED_EDGE('',*,*,#16264,.F.); #22427=ORIENTED_EDGE('',*,*,#16265,.T.); #22428=ORIENTED_EDGE('',*,*,#16266,.T.); #22429=ORIENTED_EDGE('',*,*,#16262,.F.); #22430=ORIENTED_EDGE('',*,*,#16267,.F.); #22431=ORIENTED_EDGE('',*,*,#16268,.T.); #22432=ORIENTED_EDGE('',*,*,#16269,.T.); #22433=ORIENTED_EDGE('',*,*,#16265,.F.); #22434=ORIENTED_EDGE('',*,*,#16270,.F.); #22435=ORIENTED_EDGE('',*,*,#16271,.T.); #22436=ORIENTED_EDGE('',*,*,#16272,.T.); #22437=ORIENTED_EDGE('',*,*,#16268,.F.); #22438=ORIENTED_EDGE('',*,*,#16273,.F.); #22439=ORIENTED_EDGE('',*,*,#16274,.T.); #22440=ORIENTED_EDGE('',*,*,#16275,.T.); #22441=ORIENTED_EDGE('',*,*,#16271,.F.); #22442=ORIENTED_EDGE('',*,*,#16276,.F.); #22443=ORIENTED_EDGE('',*,*,#16277,.T.); #22444=ORIENTED_EDGE('',*,*,#16278,.T.); #22445=ORIENTED_EDGE('',*,*,#16274,.F.); #22446=ORIENTED_EDGE('',*,*,#16279,.F.); #22447=ORIENTED_EDGE('',*,*,#16280,.T.); #22448=ORIENTED_EDGE('',*,*,#16281,.T.); #22449=ORIENTED_EDGE('',*,*,#16277,.F.); #22450=ORIENTED_EDGE('',*,*,#16282,.F.); #22451=ORIENTED_EDGE('',*,*,#16283,.T.); #22452=ORIENTED_EDGE('',*,*,#16284,.T.); #22453=ORIENTED_EDGE('',*,*,#16280,.F.); #22454=ORIENTED_EDGE('',*,*,#16285,.F.); #22455=ORIENTED_EDGE('',*,*,#16286,.T.); #22456=ORIENTED_EDGE('',*,*,#16287,.T.); #22457=ORIENTED_EDGE('',*,*,#16283,.F.); #22458=ORIENTED_EDGE('',*,*,#16288,.F.); #22459=ORIENTED_EDGE('',*,*,#16289,.T.); #22460=ORIENTED_EDGE('',*,*,#16290,.T.); #22461=ORIENTED_EDGE('',*,*,#16286,.F.); #22462=ORIENTED_EDGE('',*,*,#16291,.F.); #22463=ORIENTED_EDGE('',*,*,#16292,.T.); #22464=ORIENTED_EDGE('',*,*,#16293,.T.); #22465=ORIENTED_EDGE('',*,*,#16289,.F.); #22466=ORIENTED_EDGE('',*,*,#16294,.F.); #22467=ORIENTED_EDGE('',*,*,#16295,.T.); #22468=ORIENTED_EDGE('',*,*,#16296,.T.); #22469=ORIENTED_EDGE('',*,*,#16292,.F.); #22470=ORIENTED_EDGE('',*,*,#16297,.F.); #22471=ORIENTED_EDGE('',*,*,#16298,.T.); #22472=ORIENTED_EDGE('',*,*,#16299,.T.); #22473=ORIENTED_EDGE('',*,*,#16295,.F.); #22474=ORIENTED_EDGE('',*,*,#16300,.F.); #22475=ORIENTED_EDGE('',*,*,#16301,.T.); #22476=ORIENTED_EDGE('',*,*,#16302,.T.); #22477=ORIENTED_EDGE('',*,*,#16298,.F.); #22478=ORIENTED_EDGE('',*,*,#16303,.F.); #22479=ORIENTED_EDGE('',*,*,#16304,.T.); #22480=ORIENTED_EDGE('',*,*,#16305,.T.); #22481=ORIENTED_EDGE('',*,*,#16301,.F.); #22482=ORIENTED_EDGE('',*,*,#16306,.F.); #22483=ORIENTED_EDGE('',*,*,#16307,.T.); #22484=ORIENTED_EDGE('',*,*,#16308,.T.); #22485=ORIENTED_EDGE('',*,*,#16304,.F.); #22486=ORIENTED_EDGE('',*,*,#16309,.F.); #22487=ORIENTED_EDGE('',*,*,#16310,.T.); #22488=ORIENTED_EDGE('',*,*,#16311,.T.); #22489=ORIENTED_EDGE('',*,*,#16307,.F.); #22490=ORIENTED_EDGE('',*,*,#16312,.F.); #22491=ORIENTED_EDGE('',*,*,#16185,.T.); #22492=ORIENTED_EDGE('',*,*,#16313,.T.); #22493=ORIENTED_EDGE('',*,*,#16310,.F.); #22494=ORIENTED_EDGE('',*,*,#16313,.F.); #22495=ORIENTED_EDGE('',*,*,#16184,.F.); #22496=ORIENTED_EDGE('',*,*,#16188,.F.); #22497=ORIENTED_EDGE('',*,*,#16191,.F.); #22498=ORIENTED_EDGE('',*,*,#16194,.F.); #22499=ORIENTED_EDGE('',*,*,#16197,.F.); #22500=ORIENTED_EDGE('',*,*,#16200,.F.); #22501=ORIENTED_EDGE('',*,*,#16203,.F.); #22502=ORIENTED_EDGE('',*,*,#16206,.F.); #22503=ORIENTED_EDGE('',*,*,#16209,.F.); #22504=ORIENTED_EDGE('',*,*,#16212,.F.); #22505=ORIENTED_EDGE('',*,*,#16215,.F.); #22506=ORIENTED_EDGE('',*,*,#16218,.F.); #22507=ORIENTED_EDGE('',*,*,#16221,.F.); #22508=ORIENTED_EDGE('',*,*,#16224,.F.); #22509=ORIENTED_EDGE('',*,*,#16227,.F.); #22510=ORIENTED_EDGE('',*,*,#16230,.F.); #22511=ORIENTED_EDGE('',*,*,#16233,.F.); #22512=ORIENTED_EDGE('',*,*,#16236,.F.); #22513=ORIENTED_EDGE('',*,*,#16239,.F.); #22514=ORIENTED_EDGE('',*,*,#16242,.F.); #22515=ORIENTED_EDGE('',*,*,#16245,.F.); #22516=ORIENTED_EDGE('',*,*,#16248,.F.); #22517=ORIENTED_EDGE('',*,*,#16251,.F.); #22518=ORIENTED_EDGE('',*,*,#16254,.F.); #22519=ORIENTED_EDGE('',*,*,#16257,.F.); #22520=ORIENTED_EDGE('',*,*,#16260,.F.); #22521=ORIENTED_EDGE('',*,*,#16263,.F.); #22522=ORIENTED_EDGE('',*,*,#16266,.F.); #22523=ORIENTED_EDGE('',*,*,#16269,.F.); #22524=ORIENTED_EDGE('',*,*,#16272,.F.); #22525=ORIENTED_EDGE('',*,*,#16275,.F.); #22526=ORIENTED_EDGE('',*,*,#16278,.F.); #22527=ORIENTED_EDGE('',*,*,#16281,.F.); #22528=ORIENTED_EDGE('',*,*,#16284,.F.); #22529=ORIENTED_EDGE('',*,*,#16287,.F.); #22530=ORIENTED_EDGE('',*,*,#16290,.F.); #22531=ORIENTED_EDGE('',*,*,#16293,.F.); #22532=ORIENTED_EDGE('',*,*,#16296,.F.); #22533=ORIENTED_EDGE('',*,*,#16299,.F.); #22534=ORIENTED_EDGE('',*,*,#16302,.F.); #22535=ORIENTED_EDGE('',*,*,#16305,.F.); #22536=ORIENTED_EDGE('',*,*,#16308,.F.); #22537=ORIENTED_EDGE('',*,*,#16311,.F.); #22538=ORIENTED_EDGE('',*,*,#16314,.F.); #22539=ORIENTED_EDGE('',*,*,#16315,.T.); #22540=ORIENTED_EDGE('',*,*,#16316,.T.); #22541=ORIENTED_EDGE('',*,*,#16317,.F.); #22542=ORIENTED_EDGE('',*,*,#16318,.F.); #22543=ORIENTED_EDGE('',*,*,#16319,.T.); #22544=ORIENTED_EDGE('',*,*,#16320,.T.); #22545=ORIENTED_EDGE('',*,*,#16315,.F.); #22546=ORIENTED_EDGE('',*,*,#16321,.F.); #22547=ORIENTED_EDGE('',*,*,#16322,.T.); #22548=ORIENTED_EDGE('',*,*,#16323,.T.); #22549=ORIENTED_EDGE('',*,*,#16319,.F.); #22550=ORIENTED_EDGE('',*,*,#16324,.F.); #22551=ORIENTED_EDGE('',*,*,#16325,.T.); #22552=ORIENTED_EDGE('',*,*,#16326,.T.); #22553=ORIENTED_EDGE('',*,*,#16322,.F.); #22554=ORIENTED_EDGE('',*,*,#16327,.F.); #22555=ORIENTED_EDGE('',*,*,#16328,.T.); #22556=ORIENTED_EDGE('',*,*,#16329,.T.); #22557=ORIENTED_EDGE('',*,*,#16325,.F.); #22558=ORIENTED_EDGE('',*,*,#16330,.F.); #22559=ORIENTED_EDGE('',*,*,#16331,.T.); #22560=ORIENTED_EDGE('',*,*,#16332,.T.); #22561=ORIENTED_EDGE('',*,*,#16328,.F.); #22562=ORIENTED_EDGE('',*,*,#16333,.F.); #22563=ORIENTED_EDGE('',*,*,#16334,.T.); #22564=ORIENTED_EDGE('',*,*,#16335,.T.); #22565=ORIENTED_EDGE('',*,*,#16331,.F.); #22566=ORIENTED_EDGE('',*,*,#16336,.F.); #22567=ORIENTED_EDGE('',*,*,#16337,.T.); #22568=ORIENTED_EDGE('',*,*,#16338,.T.); #22569=ORIENTED_EDGE('',*,*,#16334,.F.); #22570=ORIENTED_EDGE('',*,*,#16339,.F.); #22571=ORIENTED_EDGE('',*,*,#16340,.T.); #22572=ORIENTED_EDGE('',*,*,#16341,.T.); #22573=ORIENTED_EDGE('',*,*,#16337,.F.); #22574=ORIENTED_EDGE('',*,*,#16342,.F.); #22575=ORIENTED_EDGE('',*,*,#16343,.T.); #22576=ORIENTED_EDGE('',*,*,#16344,.T.); #22577=ORIENTED_EDGE('',*,*,#16340,.F.); #22578=ORIENTED_EDGE('',*,*,#16345,.F.); #22579=ORIENTED_EDGE('',*,*,#16346,.T.); #22580=ORIENTED_EDGE('',*,*,#16347,.T.); #22581=ORIENTED_EDGE('',*,*,#16343,.F.); #22582=ORIENTED_EDGE('',*,*,#16348,.F.); #22583=ORIENTED_EDGE('',*,*,#16349,.T.); #22584=ORIENTED_EDGE('',*,*,#16350,.T.); #22585=ORIENTED_EDGE('',*,*,#16346,.F.); #22586=ORIENTED_EDGE('',*,*,#16351,.F.); #22587=ORIENTED_EDGE('',*,*,#16352,.T.); #22588=ORIENTED_EDGE('',*,*,#16353,.T.); #22589=ORIENTED_EDGE('',*,*,#16349,.F.); #22590=ORIENTED_EDGE('',*,*,#16354,.F.); #22591=ORIENTED_EDGE('',*,*,#16355,.T.); #22592=ORIENTED_EDGE('',*,*,#16356,.T.); #22593=ORIENTED_EDGE('',*,*,#16352,.F.); #22594=ORIENTED_EDGE('',*,*,#16357,.F.); #22595=ORIENTED_EDGE('',*,*,#16358,.T.); #22596=ORIENTED_EDGE('',*,*,#16359,.T.); #22597=ORIENTED_EDGE('',*,*,#16355,.F.); #22598=ORIENTED_EDGE('',*,*,#16360,.F.); #22599=ORIENTED_EDGE('',*,*,#16361,.T.); #22600=ORIENTED_EDGE('',*,*,#16362,.T.); #22601=ORIENTED_EDGE('',*,*,#16358,.F.); #22602=ORIENTED_EDGE('',*,*,#16363,.F.); #22603=ORIENTED_EDGE('',*,*,#16364,.T.); #22604=ORIENTED_EDGE('',*,*,#16365,.T.); #22605=ORIENTED_EDGE('',*,*,#16361,.F.); #22606=ORIENTED_EDGE('',*,*,#16366,.F.); #22607=ORIENTED_EDGE('',*,*,#16367,.T.); #22608=ORIENTED_EDGE('',*,*,#16368,.T.); #22609=ORIENTED_EDGE('',*,*,#16364,.F.); #22610=ORIENTED_EDGE('',*,*,#16369,.F.); #22611=ORIENTED_EDGE('',*,*,#16370,.T.); #22612=ORIENTED_EDGE('',*,*,#16371,.T.); #22613=ORIENTED_EDGE('',*,*,#16367,.F.); #22614=ORIENTED_EDGE('',*,*,#16372,.F.); #22615=ORIENTED_EDGE('',*,*,#16373,.T.); #22616=ORIENTED_EDGE('',*,*,#16374,.T.); #22617=ORIENTED_EDGE('',*,*,#16370,.F.); #22618=ORIENTED_EDGE('',*,*,#16375,.F.); #22619=ORIENTED_EDGE('',*,*,#16376,.T.); #22620=ORIENTED_EDGE('',*,*,#16377,.T.); #22621=ORIENTED_EDGE('',*,*,#16373,.F.); #22622=ORIENTED_EDGE('',*,*,#16378,.F.); #22623=ORIENTED_EDGE('',*,*,#16379,.T.); #22624=ORIENTED_EDGE('',*,*,#16380,.T.); #22625=ORIENTED_EDGE('',*,*,#16376,.F.); #22626=ORIENTED_EDGE('',*,*,#16381,.F.); #22627=ORIENTED_EDGE('',*,*,#16382,.T.); #22628=ORIENTED_EDGE('',*,*,#16383,.T.); #22629=ORIENTED_EDGE('',*,*,#16379,.F.); #22630=ORIENTED_EDGE('',*,*,#16384,.F.); #22631=ORIENTED_EDGE('',*,*,#16385,.T.); #22632=ORIENTED_EDGE('',*,*,#16386,.T.); #22633=ORIENTED_EDGE('',*,*,#16382,.F.); #22634=ORIENTED_EDGE('',*,*,#16387,.F.); #22635=ORIENTED_EDGE('',*,*,#16388,.T.); #22636=ORIENTED_EDGE('',*,*,#16389,.T.); #22637=ORIENTED_EDGE('',*,*,#16385,.F.); #22638=ORIENTED_EDGE('',*,*,#16390,.F.); #22639=ORIENTED_EDGE('',*,*,#16391,.T.); #22640=ORIENTED_EDGE('',*,*,#16392,.T.); #22641=ORIENTED_EDGE('',*,*,#16388,.F.); #22642=ORIENTED_EDGE('',*,*,#16393,.F.); #22643=ORIENTED_EDGE('',*,*,#16394,.T.); #22644=ORIENTED_EDGE('',*,*,#16395,.T.); #22645=ORIENTED_EDGE('',*,*,#16391,.F.); #22646=ORIENTED_EDGE('',*,*,#16396,.F.); #22647=ORIENTED_EDGE('',*,*,#16397,.T.); #22648=ORIENTED_EDGE('',*,*,#16398,.T.); #22649=ORIENTED_EDGE('',*,*,#16394,.F.); #22650=ORIENTED_EDGE('',*,*,#16399,.F.); #22651=ORIENTED_EDGE('',*,*,#16400,.T.); #22652=ORIENTED_EDGE('',*,*,#16401,.T.); #22653=ORIENTED_EDGE('',*,*,#16397,.F.); #22654=ORIENTED_EDGE('',*,*,#16402,.F.); #22655=ORIENTED_EDGE('',*,*,#16403,.T.); #22656=ORIENTED_EDGE('',*,*,#16404,.T.); #22657=ORIENTED_EDGE('',*,*,#16400,.F.); #22658=ORIENTED_EDGE('',*,*,#16405,.F.); #22659=ORIENTED_EDGE('',*,*,#16406,.T.); #22660=ORIENTED_EDGE('',*,*,#16407,.T.); #22661=ORIENTED_EDGE('',*,*,#16403,.F.); #22662=ORIENTED_EDGE('',*,*,#16408,.F.); #22663=ORIENTED_EDGE('',*,*,#16409,.T.); #22664=ORIENTED_EDGE('',*,*,#16410,.T.); #22665=ORIENTED_EDGE('',*,*,#16406,.F.); #22666=ORIENTED_EDGE('',*,*,#16411,.F.); #22667=ORIENTED_EDGE('',*,*,#16412,.T.); #22668=ORIENTED_EDGE('',*,*,#16413,.T.); #22669=ORIENTED_EDGE('',*,*,#16409,.F.); #22670=ORIENTED_EDGE('',*,*,#16414,.F.); #22671=ORIENTED_EDGE('',*,*,#16415,.T.); #22672=ORIENTED_EDGE('',*,*,#16416,.T.); #22673=ORIENTED_EDGE('',*,*,#16412,.F.); #22674=ORIENTED_EDGE('',*,*,#16417,.F.); #22675=ORIENTED_EDGE('',*,*,#16418,.T.); #22676=ORIENTED_EDGE('',*,*,#16419,.T.); #22677=ORIENTED_EDGE('',*,*,#16415,.F.); #22678=ORIENTED_EDGE('',*,*,#16420,.F.); #22679=ORIENTED_EDGE('',*,*,#16421,.T.); #22680=ORIENTED_EDGE('',*,*,#16422,.T.); #22681=ORIENTED_EDGE('',*,*,#16418,.F.); #22682=ORIENTED_EDGE('',*,*,#16423,.F.); #22683=ORIENTED_EDGE('',*,*,#16424,.T.); #22684=ORIENTED_EDGE('',*,*,#16425,.T.); #22685=ORIENTED_EDGE('',*,*,#16421,.F.); #22686=ORIENTED_EDGE('',*,*,#16426,.F.); #22687=ORIENTED_EDGE('',*,*,#16427,.T.); #22688=ORIENTED_EDGE('',*,*,#16428,.T.); #22689=ORIENTED_EDGE('',*,*,#16424,.F.); #22690=ORIENTED_EDGE('',*,*,#16429,.F.); #22691=ORIENTED_EDGE('',*,*,#16430,.T.); #22692=ORIENTED_EDGE('',*,*,#16431,.T.); #22693=ORIENTED_EDGE('',*,*,#16427,.F.); #22694=ORIENTED_EDGE('',*,*,#16432,.F.); #22695=ORIENTED_EDGE('',*,*,#16433,.T.); #22696=ORIENTED_EDGE('',*,*,#16434,.T.); #22697=ORIENTED_EDGE('',*,*,#16430,.F.); #22698=ORIENTED_EDGE('',*,*,#16435,.F.); #22699=ORIENTED_EDGE('',*,*,#16436,.T.); #22700=ORIENTED_EDGE('',*,*,#16437,.T.); #22701=ORIENTED_EDGE('',*,*,#16433,.F.); #22702=ORIENTED_EDGE('',*,*,#16438,.F.); #22703=ORIENTED_EDGE('',*,*,#16439,.T.); #22704=ORIENTED_EDGE('',*,*,#16440,.T.); #22705=ORIENTED_EDGE('',*,*,#16436,.F.); #22706=ORIENTED_EDGE('',*,*,#16441,.F.); #22707=ORIENTED_EDGE('',*,*,#16442,.T.); #22708=ORIENTED_EDGE('',*,*,#16443,.T.); #22709=ORIENTED_EDGE('',*,*,#16439,.F.); #22710=ORIENTED_EDGE('',*,*,#16444,.F.); #22711=ORIENTED_EDGE('',*,*,#16445,.T.); #22712=ORIENTED_EDGE('',*,*,#16446,.T.); #22713=ORIENTED_EDGE('',*,*,#16442,.F.); #22714=ORIENTED_EDGE('',*,*,#16447,.F.); #22715=ORIENTED_EDGE('',*,*,#16448,.T.); #22716=ORIENTED_EDGE('',*,*,#16449,.T.); #22717=ORIENTED_EDGE('',*,*,#16445,.F.); #22718=ORIENTED_EDGE('',*,*,#16450,.F.); #22719=ORIENTED_EDGE('',*,*,#16451,.T.); #22720=ORIENTED_EDGE('',*,*,#16452,.T.); #22721=ORIENTED_EDGE('',*,*,#16448,.F.); #22722=ORIENTED_EDGE('',*,*,#16453,.F.); #22723=ORIENTED_EDGE('',*,*,#16454,.T.); #22724=ORIENTED_EDGE('',*,*,#16455,.T.); #22725=ORIENTED_EDGE('',*,*,#16451,.F.); #22726=ORIENTED_EDGE('',*,*,#16456,.F.); #22727=ORIENTED_EDGE('',*,*,#16457,.T.); #22728=ORIENTED_EDGE('',*,*,#16458,.T.); #22729=ORIENTED_EDGE('',*,*,#16454,.F.); #22730=ORIENTED_EDGE('',*,*,#16459,.F.); #22731=ORIENTED_EDGE('',*,*,#16460,.T.); #22732=ORIENTED_EDGE('',*,*,#16461,.T.); #22733=ORIENTED_EDGE('',*,*,#16457,.F.); #22734=ORIENTED_EDGE('',*,*,#16462,.F.); #22735=ORIENTED_EDGE('',*,*,#16463,.T.); #22736=ORIENTED_EDGE('',*,*,#16464,.T.); #22737=ORIENTED_EDGE('',*,*,#16460,.F.); #22738=ORIENTED_EDGE('',*,*,#16465,.F.); #22739=ORIENTED_EDGE('',*,*,#16466,.T.); #22740=ORIENTED_EDGE('',*,*,#16467,.T.); #22741=ORIENTED_EDGE('',*,*,#16463,.F.); #22742=ORIENTED_EDGE('',*,*,#16468,.F.); #22743=ORIENTED_EDGE('',*,*,#16469,.T.); #22744=ORIENTED_EDGE('',*,*,#16470,.T.); #22745=ORIENTED_EDGE('',*,*,#16466,.F.); #22746=ORIENTED_EDGE('',*,*,#16471,.F.); #22747=ORIENTED_EDGE('',*,*,#16472,.T.); #22748=ORIENTED_EDGE('',*,*,#16473,.T.); #22749=ORIENTED_EDGE('',*,*,#16469,.F.); #22750=ORIENTED_EDGE('',*,*,#16474,.F.); #22751=ORIENTED_EDGE('',*,*,#16317,.T.); #22752=ORIENTED_EDGE('',*,*,#16475,.T.); #22753=ORIENTED_EDGE('',*,*,#16472,.F.); #22754=ORIENTED_EDGE('',*,*,#16475,.F.); #22755=ORIENTED_EDGE('',*,*,#16316,.F.); #22756=ORIENTED_EDGE('',*,*,#16320,.F.); #22757=ORIENTED_EDGE('',*,*,#16323,.F.); #22758=ORIENTED_EDGE('',*,*,#16326,.F.); #22759=ORIENTED_EDGE('',*,*,#16329,.F.); #22760=ORIENTED_EDGE('',*,*,#16332,.F.); #22761=ORIENTED_EDGE('',*,*,#16335,.F.); #22762=ORIENTED_EDGE('',*,*,#16338,.F.); #22763=ORIENTED_EDGE('',*,*,#16341,.F.); #22764=ORIENTED_EDGE('',*,*,#16344,.F.); #22765=ORIENTED_EDGE('',*,*,#16347,.F.); #22766=ORIENTED_EDGE('',*,*,#16350,.F.); #22767=ORIENTED_EDGE('',*,*,#16353,.F.); #22768=ORIENTED_EDGE('',*,*,#16356,.F.); #22769=ORIENTED_EDGE('',*,*,#16359,.F.); #22770=ORIENTED_EDGE('',*,*,#16362,.F.); #22771=ORIENTED_EDGE('',*,*,#16365,.F.); #22772=ORIENTED_EDGE('',*,*,#16368,.F.); #22773=ORIENTED_EDGE('',*,*,#16371,.F.); #22774=ORIENTED_EDGE('',*,*,#16374,.F.); #22775=ORIENTED_EDGE('',*,*,#16377,.F.); #22776=ORIENTED_EDGE('',*,*,#16380,.F.); #22777=ORIENTED_EDGE('',*,*,#16383,.F.); #22778=ORIENTED_EDGE('',*,*,#16386,.F.); #22779=ORIENTED_EDGE('',*,*,#16389,.F.); #22780=ORIENTED_EDGE('',*,*,#16392,.F.); #22781=ORIENTED_EDGE('',*,*,#16395,.F.); #22782=ORIENTED_EDGE('',*,*,#16398,.F.); #22783=ORIENTED_EDGE('',*,*,#16401,.F.); #22784=ORIENTED_EDGE('',*,*,#16404,.F.); #22785=ORIENTED_EDGE('',*,*,#16407,.F.); #22786=ORIENTED_EDGE('',*,*,#16410,.F.); #22787=ORIENTED_EDGE('',*,*,#16413,.F.); #22788=ORIENTED_EDGE('',*,*,#16416,.F.); #22789=ORIENTED_EDGE('',*,*,#16419,.F.); #22790=ORIENTED_EDGE('',*,*,#16422,.F.); #22791=ORIENTED_EDGE('',*,*,#16425,.F.); #22792=ORIENTED_EDGE('',*,*,#16428,.F.); #22793=ORIENTED_EDGE('',*,*,#16431,.F.); #22794=ORIENTED_EDGE('',*,*,#16434,.F.); #22795=ORIENTED_EDGE('',*,*,#16437,.F.); #22796=ORIENTED_EDGE('',*,*,#16440,.F.); #22797=ORIENTED_EDGE('',*,*,#16443,.F.); #22798=ORIENTED_EDGE('',*,*,#16446,.F.); #22799=ORIENTED_EDGE('',*,*,#16449,.F.); #22800=ORIENTED_EDGE('',*,*,#16452,.F.); #22801=ORIENTED_EDGE('',*,*,#16455,.F.); #22802=ORIENTED_EDGE('',*,*,#16458,.F.); #22803=ORIENTED_EDGE('',*,*,#16461,.F.); #22804=ORIENTED_EDGE('',*,*,#16464,.F.); #22805=ORIENTED_EDGE('',*,*,#16467,.F.); #22806=ORIENTED_EDGE('',*,*,#16470,.F.); #22807=ORIENTED_EDGE('',*,*,#16473,.F.); #22808=ORIENTED_EDGE('',*,*,#16476,.F.); #22809=ORIENTED_EDGE('',*,*,#16477,.T.); #22810=ORIENTED_EDGE('',*,*,#16478,.T.); #22811=ORIENTED_EDGE('',*,*,#16479,.F.); #22812=ORIENTED_EDGE('',*,*,#16480,.F.); #22813=ORIENTED_EDGE('',*,*,#16481,.T.); #22814=ORIENTED_EDGE('',*,*,#16482,.T.); #22815=ORIENTED_EDGE('',*,*,#16477,.F.); #22816=ORIENTED_EDGE('',*,*,#16483,.F.); #22817=ORIENTED_EDGE('',*,*,#16484,.T.); #22818=ORIENTED_EDGE('',*,*,#16485,.T.); #22819=ORIENTED_EDGE('',*,*,#16481,.F.); #22820=ORIENTED_EDGE('',*,*,#16486,.F.); #22821=ORIENTED_EDGE('',*,*,#16487,.T.); #22822=ORIENTED_EDGE('',*,*,#16488,.T.); #22823=ORIENTED_EDGE('',*,*,#16484,.F.); #22824=ORIENTED_EDGE('',*,*,#16489,.F.); #22825=ORIENTED_EDGE('',*,*,#16490,.T.); #22826=ORIENTED_EDGE('',*,*,#16491,.T.); #22827=ORIENTED_EDGE('',*,*,#16487,.F.); #22828=ORIENTED_EDGE('',*,*,#16492,.F.); #22829=ORIENTED_EDGE('',*,*,#16493,.T.); #22830=ORIENTED_EDGE('',*,*,#16494,.T.); #22831=ORIENTED_EDGE('',*,*,#16490,.F.); #22832=ORIENTED_EDGE('',*,*,#16495,.F.); #22833=ORIENTED_EDGE('',*,*,#16496,.T.); #22834=ORIENTED_EDGE('',*,*,#16497,.T.); #22835=ORIENTED_EDGE('',*,*,#16493,.F.); #22836=ORIENTED_EDGE('',*,*,#16498,.F.); #22837=ORIENTED_EDGE('',*,*,#16499,.T.); #22838=ORIENTED_EDGE('',*,*,#16500,.T.); #22839=ORIENTED_EDGE('',*,*,#16496,.F.); #22840=ORIENTED_EDGE('',*,*,#16501,.F.); #22841=ORIENTED_EDGE('',*,*,#16502,.T.); #22842=ORIENTED_EDGE('',*,*,#16503,.T.); #22843=ORIENTED_EDGE('',*,*,#16499,.F.); #22844=ORIENTED_EDGE('',*,*,#16504,.F.); #22845=ORIENTED_EDGE('',*,*,#16505,.T.); #22846=ORIENTED_EDGE('',*,*,#16506,.T.); #22847=ORIENTED_EDGE('',*,*,#16502,.F.); #22848=ORIENTED_EDGE('',*,*,#16507,.F.); #22849=ORIENTED_EDGE('',*,*,#16479,.T.); #22850=ORIENTED_EDGE('',*,*,#16508,.T.); #22851=ORIENTED_EDGE('',*,*,#16505,.F.); #22852=ORIENTED_EDGE('',*,*,#16508,.F.); #22853=ORIENTED_EDGE('',*,*,#16478,.F.); #22854=ORIENTED_EDGE('',*,*,#16482,.F.); #22855=ORIENTED_EDGE('',*,*,#16485,.F.); #22856=ORIENTED_EDGE('',*,*,#16488,.F.); #22857=ORIENTED_EDGE('',*,*,#16491,.F.); #22858=ORIENTED_EDGE('',*,*,#16494,.F.); #22859=ORIENTED_EDGE('',*,*,#16497,.F.); #22860=ORIENTED_EDGE('',*,*,#16500,.F.); #22861=ORIENTED_EDGE('',*,*,#16503,.F.); #22862=ORIENTED_EDGE('',*,*,#16506,.F.); #22863=ORIENTED_EDGE('',*,*,#16509,.F.); #22864=ORIENTED_EDGE('',*,*,#16510,.T.); #22865=ORIENTED_EDGE('',*,*,#16511,.T.); #22866=ORIENTED_EDGE('',*,*,#16512,.F.); #22867=ORIENTED_EDGE('',*,*,#16513,.F.); #22868=ORIENTED_EDGE('',*,*,#16514,.T.); #22869=ORIENTED_EDGE('',*,*,#16515,.T.); #22870=ORIENTED_EDGE('',*,*,#16510,.F.); #22871=ORIENTED_EDGE('',*,*,#16516,.F.); #22872=ORIENTED_EDGE('',*,*,#16517,.T.); #22873=ORIENTED_EDGE('',*,*,#16518,.T.); #22874=ORIENTED_EDGE('',*,*,#16514,.F.); #22875=ORIENTED_EDGE('',*,*,#16519,.F.); #22876=ORIENTED_EDGE('',*,*,#16520,.T.); #22877=ORIENTED_EDGE('',*,*,#16521,.T.); #22878=ORIENTED_EDGE('',*,*,#16517,.F.); #22879=ORIENTED_EDGE('',*,*,#16522,.F.); #22880=ORIENTED_EDGE('',*,*,#16523,.T.); #22881=ORIENTED_EDGE('',*,*,#16524,.T.); #22882=ORIENTED_EDGE('',*,*,#16520,.F.); #22883=ORIENTED_EDGE('',*,*,#16525,.F.); #22884=ORIENTED_EDGE('',*,*,#16526,.T.); #22885=ORIENTED_EDGE('',*,*,#16527,.T.); #22886=ORIENTED_EDGE('',*,*,#16523,.F.); #22887=ORIENTED_EDGE('',*,*,#16528,.F.); #22888=ORIENTED_EDGE('',*,*,#16529,.T.); #22889=ORIENTED_EDGE('',*,*,#16530,.T.); #22890=ORIENTED_EDGE('',*,*,#16526,.F.); #22891=ORIENTED_EDGE('',*,*,#16531,.F.); #22892=ORIENTED_EDGE('',*,*,#16532,.T.); #22893=ORIENTED_EDGE('',*,*,#16533,.T.); #22894=ORIENTED_EDGE('',*,*,#16529,.F.); #22895=ORIENTED_EDGE('',*,*,#16534,.F.); #22896=ORIENTED_EDGE('',*,*,#16535,.T.); #22897=ORIENTED_EDGE('',*,*,#16536,.T.); #22898=ORIENTED_EDGE('',*,*,#16532,.F.); #22899=ORIENTED_EDGE('',*,*,#16537,.F.); #22900=ORIENTED_EDGE('',*,*,#16512,.T.); #22901=ORIENTED_EDGE('',*,*,#16538,.T.); #22902=ORIENTED_EDGE('',*,*,#16535,.F.); #22903=ORIENTED_EDGE('',*,*,#16539,.F.); #22904=ORIENTED_EDGE('',*,*,#16540,.T.); #22905=ORIENTED_EDGE('',*,*,#16541,.T.); #22906=ORIENTED_EDGE('',*,*,#16542,.F.); #22907=ORIENTED_EDGE('',*,*,#16543,.F.); #22908=ORIENTED_EDGE('',*,*,#16544,.T.); #22909=ORIENTED_EDGE('',*,*,#16545,.T.); #22910=ORIENTED_EDGE('',*,*,#16540,.F.); #22911=ORIENTED_EDGE('',*,*,#16546,.F.); #22912=ORIENTED_EDGE('',*,*,#16547,.T.); #22913=ORIENTED_EDGE('',*,*,#16548,.T.); #22914=ORIENTED_EDGE('',*,*,#16544,.F.); #22915=ORIENTED_EDGE('',*,*,#16549,.F.); #22916=ORIENTED_EDGE('',*,*,#16550,.T.); #22917=ORIENTED_EDGE('',*,*,#16551,.T.); #22918=ORIENTED_EDGE('',*,*,#16547,.F.); #22919=ORIENTED_EDGE('',*,*,#16552,.F.); #22920=ORIENTED_EDGE('',*,*,#16553,.T.); #22921=ORIENTED_EDGE('',*,*,#16554,.T.); #22922=ORIENTED_EDGE('',*,*,#16550,.F.); #22923=ORIENTED_EDGE('',*,*,#16555,.F.); #22924=ORIENTED_EDGE('',*,*,#16556,.T.); #22925=ORIENTED_EDGE('',*,*,#16557,.T.); #22926=ORIENTED_EDGE('',*,*,#16553,.F.); #22927=ORIENTED_EDGE('',*,*,#16558,.F.); #22928=ORIENTED_EDGE('',*,*,#16559,.T.); #22929=ORIENTED_EDGE('',*,*,#16560,.T.); #22930=ORIENTED_EDGE('',*,*,#16556,.F.); #22931=ORIENTED_EDGE('',*,*,#16561,.F.); #22932=ORIENTED_EDGE('',*,*,#16562,.T.); #22933=ORIENTED_EDGE('',*,*,#16563,.T.); #22934=ORIENTED_EDGE('',*,*,#16559,.F.); #22935=ORIENTED_EDGE('',*,*,#16564,.F.); #22936=ORIENTED_EDGE('',*,*,#16565,.T.); #22937=ORIENTED_EDGE('',*,*,#16566,.T.); #22938=ORIENTED_EDGE('',*,*,#16562,.F.); #22939=ORIENTED_EDGE('',*,*,#16567,.F.); #22940=ORIENTED_EDGE('',*,*,#16568,.T.); #22941=ORIENTED_EDGE('',*,*,#16569,.T.); #22942=ORIENTED_EDGE('',*,*,#16565,.F.); #22943=ORIENTED_EDGE('',*,*,#16570,.F.); #22944=ORIENTED_EDGE('',*,*,#16571,.T.); #22945=ORIENTED_EDGE('',*,*,#16572,.T.); #22946=ORIENTED_EDGE('',*,*,#16568,.F.); #22947=ORIENTED_EDGE('',*,*,#16573,.F.); #22948=ORIENTED_EDGE('',*,*,#16574,.T.); #22949=ORIENTED_EDGE('',*,*,#16575,.T.); #22950=ORIENTED_EDGE('',*,*,#16571,.F.); #22951=ORIENTED_EDGE('',*,*,#16576,.F.); #22952=ORIENTED_EDGE('',*,*,#16577,.T.); #22953=ORIENTED_EDGE('',*,*,#16578,.T.); #22954=ORIENTED_EDGE('',*,*,#16574,.F.); #22955=ORIENTED_EDGE('',*,*,#16579,.F.); #22956=ORIENTED_EDGE('',*,*,#16580,.T.); #22957=ORIENTED_EDGE('',*,*,#16581,.T.); #22958=ORIENTED_EDGE('',*,*,#16577,.F.); #22959=ORIENTED_EDGE('',*,*,#16582,.F.); #22960=ORIENTED_EDGE('',*,*,#16583,.T.); #22961=ORIENTED_EDGE('',*,*,#16584,.T.); #22962=ORIENTED_EDGE('',*,*,#16580,.F.); #22963=ORIENTED_EDGE('',*,*,#16585,.F.); #22964=ORIENTED_EDGE('',*,*,#16586,.T.); #22965=ORIENTED_EDGE('',*,*,#16587,.T.); #22966=ORIENTED_EDGE('',*,*,#16583,.F.); #22967=ORIENTED_EDGE('',*,*,#16588,.F.); #22968=ORIENTED_EDGE('',*,*,#16589,.T.); #22969=ORIENTED_EDGE('',*,*,#16590,.T.); #22970=ORIENTED_EDGE('',*,*,#16586,.F.); #22971=ORIENTED_EDGE('',*,*,#16591,.F.); #22972=ORIENTED_EDGE('',*,*,#16592,.T.); #22973=ORIENTED_EDGE('',*,*,#16593,.T.); #22974=ORIENTED_EDGE('',*,*,#16589,.F.); #22975=ORIENTED_EDGE('',*,*,#16594,.F.); #22976=ORIENTED_EDGE('',*,*,#16595,.T.); #22977=ORIENTED_EDGE('',*,*,#16596,.T.); #22978=ORIENTED_EDGE('',*,*,#16592,.F.); #22979=ORIENTED_EDGE('',*,*,#16597,.F.); #22980=ORIENTED_EDGE('',*,*,#16598,.T.); #22981=ORIENTED_EDGE('',*,*,#16599,.T.); #22982=ORIENTED_EDGE('',*,*,#16595,.F.); #22983=ORIENTED_EDGE('',*,*,#16600,.F.); #22984=ORIENTED_EDGE('',*,*,#16601,.T.); #22985=ORIENTED_EDGE('',*,*,#16602,.T.); #22986=ORIENTED_EDGE('',*,*,#16598,.F.); #22987=ORIENTED_EDGE('',*,*,#16603,.F.); #22988=ORIENTED_EDGE('',*,*,#16604,.T.); #22989=ORIENTED_EDGE('',*,*,#16605,.T.); #22990=ORIENTED_EDGE('',*,*,#16601,.F.); #22991=ORIENTED_EDGE('',*,*,#16606,.F.); #22992=ORIENTED_EDGE('',*,*,#16607,.T.); #22993=ORIENTED_EDGE('',*,*,#16608,.T.); #22994=ORIENTED_EDGE('',*,*,#16604,.F.); #22995=ORIENTED_EDGE('',*,*,#16609,.F.); #22996=ORIENTED_EDGE('',*,*,#16610,.T.); #22997=ORIENTED_EDGE('',*,*,#16611,.T.); #22998=ORIENTED_EDGE('',*,*,#16607,.F.); #22999=ORIENTED_EDGE('',*,*,#16612,.F.); #23000=ORIENTED_EDGE('',*,*,#16613,.T.); #23001=ORIENTED_EDGE('',*,*,#16614,.T.); #23002=ORIENTED_EDGE('',*,*,#16610,.F.); #23003=ORIENTED_EDGE('',*,*,#16615,.F.); #23004=ORIENTED_EDGE('',*,*,#16616,.T.); #23005=ORIENTED_EDGE('',*,*,#16617,.T.); #23006=ORIENTED_EDGE('',*,*,#16613,.F.); #23007=ORIENTED_EDGE('',*,*,#16618,.F.); #23008=ORIENTED_EDGE('',*,*,#16619,.T.); #23009=ORIENTED_EDGE('',*,*,#16620,.T.); #23010=ORIENTED_EDGE('',*,*,#16616,.F.); #23011=ORIENTED_EDGE('',*,*,#16621,.F.); #23012=ORIENTED_EDGE('',*,*,#16622,.T.); #23013=ORIENTED_EDGE('',*,*,#16623,.T.); #23014=ORIENTED_EDGE('',*,*,#16619,.F.); #23015=ORIENTED_EDGE('',*,*,#16624,.F.); #23016=ORIENTED_EDGE('',*,*,#16625,.T.); #23017=ORIENTED_EDGE('',*,*,#16626,.T.); #23018=ORIENTED_EDGE('',*,*,#16622,.F.); #23019=ORIENTED_EDGE('',*,*,#16627,.F.); #23020=ORIENTED_EDGE('',*,*,#16628,.T.); #23021=ORIENTED_EDGE('',*,*,#16629,.T.); #23022=ORIENTED_EDGE('',*,*,#16625,.F.); #23023=ORIENTED_EDGE('',*,*,#16630,.F.); #23024=ORIENTED_EDGE('',*,*,#16631,.T.); #23025=ORIENTED_EDGE('',*,*,#16632,.T.); #23026=ORIENTED_EDGE('',*,*,#16628,.F.); #23027=ORIENTED_EDGE('',*,*,#16633,.F.); #23028=ORIENTED_EDGE('',*,*,#16634,.T.); #23029=ORIENTED_EDGE('',*,*,#16635,.T.); #23030=ORIENTED_EDGE('',*,*,#16631,.F.); #23031=ORIENTED_EDGE('',*,*,#16636,.F.); #23032=ORIENTED_EDGE('',*,*,#16637,.T.); #23033=ORIENTED_EDGE('',*,*,#16638,.T.); #23034=ORIENTED_EDGE('',*,*,#16634,.F.); #23035=ORIENTED_EDGE('',*,*,#16639,.F.); #23036=ORIENTED_EDGE('',*,*,#16640,.T.); #23037=ORIENTED_EDGE('',*,*,#16641,.T.); #23038=ORIENTED_EDGE('',*,*,#16637,.F.); #23039=ORIENTED_EDGE('',*,*,#16642,.F.); #23040=ORIENTED_EDGE('',*,*,#16643,.T.); #23041=ORIENTED_EDGE('',*,*,#16644,.T.); #23042=ORIENTED_EDGE('',*,*,#16640,.F.); #23043=ORIENTED_EDGE('',*,*,#16645,.F.); #23044=ORIENTED_EDGE('',*,*,#16646,.T.); #23045=ORIENTED_EDGE('',*,*,#16647,.T.); #23046=ORIENTED_EDGE('',*,*,#16643,.F.); #23047=ORIENTED_EDGE('',*,*,#16648,.F.); #23048=ORIENTED_EDGE('',*,*,#16542,.T.); #23049=ORIENTED_EDGE('',*,*,#16649,.T.); #23050=ORIENTED_EDGE('',*,*,#16646,.F.); #23051=ORIENTED_EDGE('',*,*,#16649,.F.); #23052=ORIENTED_EDGE('',*,*,#16541,.F.); #23053=ORIENTED_EDGE('',*,*,#16545,.F.); #23054=ORIENTED_EDGE('',*,*,#16548,.F.); #23055=ORIENTED_EDGE('',*,*,#16551,.F.); #23056=ORIENTED_EDGE('',*,*,#16554,.F.); #23057=ORIENTED_EDGE('',*,*,#16557,.F.); #23058=ORIENTED_EDGE('',*,*,#16560,.F.); #23059=ORIENTED_EDGE('',*,*,#16563,.F.); #23060=ORIENTED_EDGE('',*,*,#16566,.F.); #23061=ORIENTED_EDGE('',*,*,#16569,.F.); #23062=ORIENTED_EDGE('',*,*,#16572,.F.); #23063=ORIENTED_EDGE('',*,*,#16575,.F.); #23064=ORIENTED_EDGE('',*,*,#16578,.F.); #23065=ORIENTED_EDGE('',*,*,#16581,.F.); #23066=ORIENTED_EDGE('',*,*,#16584,.F.); #23067=ORIENTED_EDGE('',*,*,#16587,.F.); #23068=ORIENTED_EDGE('',*,*,#16590,.F.); #23069=ORIENTED_EDGE('',*,*,#16593,.F.); #23070=ORIENTED_EDGE('',*,*,#16596,.F.); #23071=ORIENTED_EDGE('',*,*,#16599,.F.); #23072=ORIENTED_EDGE('',*,*,#16602,.F.); #23073=ORIENTED_EDGE('',*,*,#16605,.F.); #23074=ORIENTED_EDGE('',*,*,#16608,.F.); #23075=ORIENTED_EDGE('',*,*,#16611,.F.); #23076=ORIENTED_EDGE('',*,*,#16614,.F.); #23077=ORIENTED_EDGE('',*,*,#16617,.F.); #23078=ORIENTED_EDGE('',*,*,#16620,.F.); #23079=ORIENTED_EDGE('',*,*,#16623,.F.); #23080=ORIENTED_EDGE('',*,*,#16626,.F.); #23081=ORIENTED_EDGE('',*,*,#16629,.F.); #23082=ORIENTED_EDGE('',*,*,#16632,.F.); #23083=ORIENTED_EDGE('',*,*,#16635,.F.); #23084=ORIENTED_EDGE('',*,*,#16638,.F.); #23085=ORIENTED_EDGE('',*,*,#16641,.F.); #23086=ORIENTED_EDGE('',*,*,#16644,.F.); #23087=ORIENTED_EDGE('',*,*,#16647,.F.); #23088=ORIENTED_EDGE('',*,*,#16538,.F.); #23089=ORIENTED_EDGE('',*,*,#16511,.F.); #23090=ORIENTED_EDGE('',*,*,#16515,.F.); #23091=ORIENTED_EDGE('',*,*,#16518,.F.); #23092=ORIENTED_EDGE('',*,*,#16521,.F.); #23093=ORIENTED_EDGE('',*,*,#16524,.F.); #23094=ORIENTED_EDGE('',*,*,#16527,.F.); #23095=ORIENTED_EDGE('',*,*,#16530,.F.); #23096=ORIENTED_EDGE('',*,*,#16533,.F.); #23097=ORIENTED_EDGE('',*,*,#16536,.F.); #23098=ORIENTED_EDGE('',*,*,#16650,.F.); #23099=ORIENTED_EDGE('',*,*,#16651,.T.); #23100=ORIENTED_EDGE('',*,*,#16652,.T.); #23101=ORIENTED_EDGE('',*,*,#16653,.F.); #23102=ORIENTED_EDGE('',*,*,#16654,.F.); #23103=ORIENTED_EDGE('',*,*,#16655,.T.); #23104=ORIENTED_EDGE('',*,*,#16656,.T.); #23105=ORIENTED_EDGE('',*,*,#16651,.F.); #23106=ORIENTED_EDGE('',*,*,#16657,.F.); #23107=ORIENTED_EDGE('',*,*,#16658,.T.); #23108=ORIENTED_EDGE('',*,*,#16659,.T.); #23109=ORIENTED_EDGE('',*,*,#16655,.F.); #23110=ORIENTED_EDGE('',*,*,#16660,.F.); #23111=ORIENTED_EDGE('',*,*,#16661,.T.); #23112=ORIENTED_EDGE('',*,*,#16662,.T.); #23113=ORIENTED_EDGE('',*,*,#16658,.F.); #23114=ORIENTED_EDGE('',*,*,#16663,.F.); #23115=ORIENTED_EDGE('',*,*,#16664,.T.); #23116=ORIENTED_EDGE('',*,*,#16665,.T.); #23117=ORIENTED_EDGE('',*,*,#16661,.F.); #23118=ORIENTED_EDGE('',*,*,#16666,.F.); #23119=ORIENTED_EDGE('',*,*,#16667,.T.); #23120=ORIENTED_EDGE('',*,*,#16668,.T.); #23121=ORIENTED_EDGE('',*,*,#16664,.F.); #23122=ORIENTED_EDGE('',*,*,#16669,.F.); #23123=ORIENTED_EDGE('',*,*,#16670,.T.); #23124=ORIENTED_EDGE('',*,*,#16671,.T.); #23125=ORIENTED_EDGE('',*,*,#16667,.F.); #23126=ORIENTED_EDGE('',*,*,#16672,.F.); #23127=ORIENTED_EDGE('',*,*,#16673,.T.); #23128=ORIENTED_EDGE('',*,*,#16674,.T.); #23129=ORIENTED_EDGE('',*,*,#16670,.F.); #23130=ORIENTED_EDGE('',*,*,#16675,.F.); #23131=ORIENTED_EDGE('',*,*,#16676,.T.); #23132=ORIENTED_EDGE('',*,*,#16677,.T.); #23133=ORIENTED_EDGE('',*,*,#16673,.F.); #23134=ORIENTED_EDGE('',*,*,#16678,.F.); #23135=ORIENTED_EDGE('',*,*,#16679,.T.); #23136=ORIENTED_EDGE('',*,*,#16680,.T.); #23137=ORIENTED_EDGE('',*,*,#16676,.F.); #23138=ORIENTED_EDGE('',*,*,#16681,.F.); #23139=ORIENTED_EDGE('',*,*,#16682,.T.); #23140=ORIENTED_EDGE('',*,*,#16683,.T.); #23141=ORIENTED_EDGE('',*,*,#16679,.F.); #23142=ORIENTED_EDGE('',*,*,#16684,.F.); #23143=ORIENTED_EDGE('',*,*,#16685,.T.); #23144=ORIENTED_EDGE('',*,*,#16686,.T.); #23145=ORIENTED_EDGE('',*,*,#16682,.F.); #23146=ORIENTED_EDGE('',*,*,#16687,.F.); #23147=ORIENTED_EDGE('',*,*,#16688,.T.); #23148=ORIENTED_EDGE('',*,*,#16689,.T.); #23149=ORIENTED_EDGE('',*,*,#16685,.F.); #23150=ORIENTED_EDGE('',*,*,#16690,.F.); #23151=ORIENTED_EDGE('',*,*,#16691,.T.); #23152=ORIENTED_EDGE('',*,*,#16692,.T.); #23153=ORIENTED_EDGE('',*,*,#16688,.F.); #23154=ORIENTED_EDGE('',*,*,#16693,.F.); #23155=ORIENTED_EDGE('',*,*,#16694,.T.); #23156=ORIENTED_EDGE('',*,*,#16695,.T.); #23157=ORIENTED_EDGE('',*,*,#16691,.F.); #23158=ORIENTED_EDGE('',*,*,#16696,.F.); #23159=ORIENTED_EDGE('',*,*,#16697,.T.); #23160=ORIENTED_EDGE('',*,*,#16698,.T.); #23161=ORIENTED_EDGE('',*,*,#16694,.F.); #23162=ORIENTED_EDGE('',*,*,#16699,.F.); #23163=ORIENTED_EDGE('',*,*,#16700,.T.); #23164=ORIENTED_EDGE('',*,*,#16701,.T.); #23165=ORIENTED_EDGE('',*,*,#16697,.F.); #23166=ORIENTED_EDGE('',*,*,#16702,.F.); #23167=ORIENTED_EDGE('',*,*,#16703,.T.); #23168=ORIENTED_EDGE('',*,*,#16704,.T.); #23169=ORIENTED_EDGE('',*,*,#16700,.F.); #23170=ORIENTED_EDGE('',*,*,#16705,.F.); #23171=ORIENTED_EDGE('',*,*,#16706,.T.); #23172=ORIENTED_EDGE('',*,*,#16707,.T.); #23173=ORIENTED_EDGE('',*,*,#16703,.F.); #23174=ORIENTED_EDGE('',*,*,#16708,.F.); #23175=ORIENTED_EDGE('',*,*,#16709,.T.); #23176=ORIENTED_EDGE('',*,*,#16710,.T.); #23177=ORIENTED_EDGE('',*,*,#16706,.F.); #23178=ORIENTED_EDGE('',*,*,#16711,.F.); #23179=ORIENTED_EDGE('',*,*,#16712,.T.); #23180=ORIENTED_EDGE('',*,*,#16713,.T.); #23181=ORIENTED_EDGE('',*,*,#16709,.F.); #23182=ORIENTED_EDGE('',*,*,#16714,.F.); #23183=ORIENTED_EDGE('',*,*,#16715,.T.); #23184=ORIENTED_EDGE('',*,*,#16716,.T.); #23185=ORIENTED_EDGE('',*,*,#16712,.F.); #23186=ORIENTED_EDGE('',*,*,#16717,.F.); #23187=ORIENTED_EDGE('',*,*,#16718,.T.); #23188=ORIENTED_EDGE('',*,*,#16719,.T.); #23189=ORIENTED_EDGE('',*,*,#16715,.F.); #23190=ORIENTED_EDGE('',*,*,#16720,.F.); #23191=ORIENTED_EDGE('',*,*,#16721,.T.); #23192=ORIENTED_EDGE('',*,*,#16722,.T.); #23193=ORIENTED_EDGE('',*,*,#16718,.F.); #23194=ORIENTED_EDGE('',*,*,#16723,.F.); #23195=ORIENTED_EDGE('',*,*,#16724,.T.); #23196=ORIENTED_EDGE('',*,*,#16725,.T.); #23197=ORIENTED_EDGE('',*,*,#16721,.F.); #23198=ORIENTED_EDGE('',*,*,#16726,.F.); #23199=ORIENTED_EDGE('',*,*,#16727,.T.); #23200=ORIENTED_EDGE('',*,*,#16728,.T.); #23201=ORIENTED_EDGE('',*,*,#16724,.F.); #23202=ORIENTED_EDGE('',*,*,#16729,.F.); #23203=ORIENTED_EDGE('',*,*,#16730,.T.); #23204=ORIENTED_EDGE('',*,*,#16731,.T.); #23205=ORIENTED_EDGE('',*,*,#16727,.F.); #23206=ORIENTED_EDGE('',*,*,#16732,.F.); #23207=ORIENTED_EDGE('',*,*,#16733,.T.); #23208=ORIENTED_EDGE('',*,*,#16734,.T.); #23209=ORIENTED_EDGE('',*,*,#16730,.F.); #23210=ORIENTED_EDGE('',*,*,#16735,.F.); #23211=ORIENTED_EDGE('',*,*,#16736,.T.); #23212=ORIENTED_EDGE('',*,*,#16737,.T.); #23213=ORIENTED_EDGE('',*,*,#16733,.F.); #23214=ORIENTED_EDGE('',*,*,#16738,.F.); #23215=ORIENTED_EDGE('',*,*,#16739,.T.); #23216=ORIENTED_EDGE('',*,*,#16740,.T.); #23217=ORIENTED_EDGE('',*,*,#16736,.F.); #23218=ORIENTED_EDGE('',*,*,#16741,.F.); #23219=ORIENTED_EDGE('',*,*,#16742,.T.); #23220=ORIENTED_EDGE('',*,*,#16743,.T.); #23221=ORIENTED_EDGE('',*,*,#16739,.F.); #23222=ORIENTED_EDGE('',*,*,#16744,.F.); #23223=ORIENTED_EDGE('',*,*,#16745,.T.); #23224=ORIENTED_EDGE('',*,*,#16746,.T.); #23225=ORIENTED_EDGE('',*,*,#16742,.F.); #23226=ORIENTED_EDGE('',*,*,#16747,.F.); #23227=ORIENTED_EDGE('',*,*,#16748,.T.); #23228=ORIENTED_EDGE('',*,*,#16749,.T.); #23229=ORIENTED_EDGE('',*,*,#16745,.F.); #23230=ORIENTED_EDGE('',*,*,#16750,.F.); #23231=ORIENTED_EDGE('',*,*,#16751,.T.); #23232=ORIENTED_EDGE('',*,*,#16752,.T.); #23233=ORIENTED_EDGE('',*,*,#16748,.F.); #23234=ORIENTED_EDGE('',*,*,#16753,.F.); #23235=ORIENTED_EDGE('',*,*,#16754,.T.); #23236=ORIENTED_EDGE('',*,*,#16755,.T.); #23237=ORIENTED_EDGE('',*,*,#16751,.F.); #23238=ORIENTED_EDGE('',*,*,#16756,.F.); #23239=ORIENTED_EDGE('',*,*,#16757,.T.); #23240=ORIENTED_EDGE('',*,*,#16758,.T.); #23241=ORIENTED_EDGE('',*,*,#16754,.F.); #23242=ORIENTED_EDGE('',*,*,#16759,.F.); #23243=ORIENTED_EDGE('',*,*,#16760,.T.); #23244=ORIENTED_EDGE('',*,*,#16761,.T.); #23245=ORIENTED_EDGE('',*,*,#16757,.F.); #23246=ORIENTED_EDGE('',*,*,#16762,.F.); #23247=ORIENTED_EDGE('',*,*,#16763,.T.); #23248=ORIENTED_EDGE('',*,*,#16764,.T.); #23249=ORIENTED_EDGE('',*,*,#16760,.F.); #23250=ORIENTED_EDGE('',*,*,#16765,.F.); #23251=ORIENTED_EDGE('',*,*,#16766,.T.); #23252=ORIENTED_EDGE('',*,*,#16767,.T.); #23253=ORIENTED_EDGE('',*,*,#16763,.F.); #23254=ORIENTED_EDGE('',*,*,#16768,.F.); #23255=ORIENTED_EDGE('',*,*,#16769,.T.); #23256=ORIENTED_EDGE('',*,*,#16770,.T.); #23257=ORIENTED_EDGE('',*,*,#16766,.F.); #23258=ORIENTED_EDGE('',*,*,#16771,.F.); #23259=ORIENTED_EDGE('',*,*,#16772,.T.); #23260=ORIENTED_EDGE('',*,*,#16773,.T.); #23261=ORIENTED_EDGE('',*,*,#16769,.F.); #23262=ORIENTED_EDGE('',*,*,#16774,.F.); #23263=ORIENTED_EDGE('',*,*,#16775,.T.); #23264=ORIENTED_EDGE('',*,*,#16776,.T.); #23265=ORIENTED_EDGE('',*,*,#16772,.F.); #23266=ORIENTED_EDGE('',*,*,#16777,.F.); #23267=ORIENTED_EDGE('',*,*,#16653,.T.); #23268=ORIENTED_EDGE('',*,*,#16778,.T.); #23269=ORIENTED_EDGE('',*,*,#16775,.F.); #23270=ORIENTED_EDGE('',*,*,#16778,.F.); #23271=ORIENTED_EDGE('',*,*,#16652,.F.); #23272=ORIENTED_EDGE('',*,*,#16656,.F.); #23273=ORIENTED_EDGE('',*,*,#16659,.F.); #23274=ORIENTED_EDGE('',*,*,#16662,.F.); #23275=ORIENTED_EDGE('',*,*,#16665,.F.); #23276=ORIENTED_EDGE('',*,*,#16668,.F.); #23277=ORIENTED_EDGE('',*,*,#16671,.F.); #23278=ORIENTED_EDGE('',*,*,#16674,.F.); #23279=ORIENTED_EDGE('',*,*,#16677,.F.); #23280=ORIENTED_EDGE('',*,*,#16680,.F.); #23281=ORIENTED_EDGE('',*,*,#16683,.F.); #23282=ORIENTED_EDGE('',*,*,#16686,.F.); #23283=ORIENTED_EDGE('',*,*,#16689,.F.); #23284=ORIENTED_EDGE('',*,*,#16692,.F.); #23285=ORIENTED_EDGE('',*,*,#16695,.F.); #23286=ORIENTED_EDGE('',*,*,#16698,.F.); #23287=ORIENTED_EDGE('',*,*,#16701,.F.); #23288=ORIENTED_EDGE('',*,*,#16704,.F.); #23289=ORIENTED_EDGE('',*,*,#16707,.F.); #23290=ORIENTED_EDGE('',*,*,#16710,.F.); #23291=ORIENTED_EDGE('',*,*,#16713,.F.); #23292=ORIENTED_EDGE('',*,*,#16716,.F.); #23293=ORIENTED_EDGE('',*,*,#16719,.F.); #23294=ORIENTED_EDGE('',*,*,#16722,.F.); #23295=ORIENTED_EDGE('',*,*,#16725,.F.); #23296=ORIENTED_EDGE('',*,*,#16728,.F.); #23297=ORIENTED_EDGE('',*,*,#16731,.F.); #23298=ORIENTED_EDGE('',*,*,#16734,.F.); #23299=ORIENTED_EDGE('',*,*,#16737,.F.); #23300=ORIENTED_EDGE('',*,*,#16740,.F.); #23301=ORIENTED_EDGE('',*,*,#16743,.F.); #23302=ORIENTED_EDGE('',*,*,#16746,.F.); #23303=ORIENTED_EDGE('',*,*,#16749,.F.); #23304=ORIENTED_EDGE('',*,*,#16752,.F.); #23305=ORIENTED_EDGE('',*,*,#16755,.F.); #23306=ORIENTED_EDGE('',*,*,#16758,.F.); #23307=ORIENTED_EDGE('',*,*,#16761,.F.); #23308=ORIENTED_EDGE('',*,*,#16764,.F.); #23309=ORIENTED_EDGE('',*,*,#16767,.F.); #23310=ORIENTED_EDGE('',*,*,#16770,.F.); #23311=ORIENTED_EDGE('',*,*,#16773,.F.); #23312=ORIENTED_EDGE('',*,*,#16776,.F.); #23313=ORIENTED_EDGE('',*,*,#14589,.T.); #23314=ORIENTED_EDGE('',*,*,#14620,.T.); #23315=ORIENTED_EDGE('',*,*,#14617,.T.); #23316=ORIENTED_EDGE('',*,*,#14614,.T.); #23317=ORIENTED_EDGE('',*,*,#14611,.T.); #23318=ORIENTED_EDGE('',*,*,#14608,.T.); #23319=ORIENTED_EDGE('',*,*,#14605,.T.); #23320=ORIENTED_EDGE('',*,*,#14602,.T.); #23321=ORIENTED_EDGE('',*,*,#14599,.T.); #23322=ORIENTED_EDGE('',*,*,#14596,.T.); #23323=ORIENTED_EDGE('',*,*,#14593,.T.); #23324=ORIENTED_EDGE('',*,*,#16509,.T.); #23325=ORIENTED_EDGE('',*,*,#16537,.T.); #23326=ORIENTED_EDGE('',*,*,#16534,.T.); #23327=ORIENTED_EDGE('',*,*,#16531,.T.); #23328=ORIENTED_EDGE('',*,*,#16528,.T.); #23329=ORIENTED_EDGE('',*,*,#16525,.T.); #23330=ORIENTED_EDGE('',*,*,#16522,.T.); #23331=ORIENTED_EDGE('',*,*,#16519,.T.); #23332=ORIENTED_EDGE('',*,*,#16516,.T.); #23333=ORIENTED_EDGE('',*,*,#16513,.T.); #23334=ORIENTED_EDGE('',*,*,#16779,.T.); #23335=ORIENTED_EDGE('',*,*,#16780,.T.); #23336=ORIENTED_EDGE('',*,*,#16781,.T.); #23337=ORIENTED_EDGE('',*,*,#16782,.T.); #23338=ORIENTED_EDGE('',*,*,#16783,.T.); #23339=ORIENTED_EDGE('',*,*,#16784,.T.); #23340=ORIENTED_EDGE('',*,*,#16785,.T.); #23341=ORIENTED_EDGE('',*,*,#16786,.T.); #23342=ORIENTED_EDGE('',*,*,#16787,.T.); #23343=ORIENTED_EDGE('',*,*,#16788,.T.); #23344=ORIENTED_EDGE('',*,*,#16789,.T.); #23345=ORIENTED_EDGE('',*,*,#16790,.T.); #23346=ORIENTED_EDGE('',*,*,#14622,.T.); #23347=ORIENTED_EDGE('',*,*,#14653,.T.); #23348=ORIENTED_EDGE('',*,*,#14650,.T.); #23349=ORIENTED_EDGE('',*,*,#14647,.T.); #23350=ORIENTED_EDGE('',*,*,#14644,.T.); #23351=ORIENTED_EDGE('',*,*,#14641,.T.); #23352=ORIENTED_EDGE('',*,*,#14638,.T.); #23353=ORIENTED_EDGE('',*,*,#14635,.T.); #23354=ORIENTED_EDGE('',*,*,#14632,.T.); #23355=ORIENTED_EDGE('',*,*,#14629,.T.); #23356=ORIENTED_EDGE('',*,*,#14626,.T.); #23357=ORIENTED_EDGE('',*,*,#14655,.T.); #23358=ORIENTED_EDGE('',*,*,#14746,.T.); #23359=ORIENTED_EDGE('',*,*,#14743,.T.); #23360=ORIENTED_EDGE('',*,*,#14740,.T.); #23361=ORIENTED_EDGE('',*,*,#14737,.T.); #23362=ORIENTED_EDGE('',*,*,#14734,.T.); #23363=ORIENTED_EDGE('',*,*,#14731,.T.); #23364=ORIENTED_EDGE('',*,*,#14728,.T.); #23365=ORIENTED_EDGE('',*,*,#14725,.T.); #23366=ORIENTED_EDGE('',*,*,#14722,.T.); #23367=ORIENTED_EDGE('',*,*,#14719,.T.); #23368=ORIENTED_EDGE('',*,*,#14716,.T.); #23369=ORIENTED_EDGE('',*,*,#14713,.T.); #23370=ORIENTED_EDGE('',*,*,#14710,.T.); #23371=ORIENTED_EDGE('',*,*,#14707,.T.); #23372=ORIENTED_EDGE('',*,*,#14704,.T.); #23373=ORIENTED_EDGE('',*,*,#14701,.T.); #23374=ORIENTED_EDGE('',*,*,#14698,.T.); #23375=ORIENTED_EDGE('',*,*,#14695,.T.); #23376=ORIENTED_EDGE('',*,*,#14692,.T.); #23377=ORIENTED_EDGE('',*,*,#14689,.T.); #23378=ORIENTED_EDGE('',*,*,#14686,.T.); #23379=ORIENTED_EDGE('',*,*,#14683,.T.); #23380=ORIENTED_EDGE('',*,*,#14680,.T.); #23381=ORIENTED_EDGE('',*,*,#14677,.T.); #23382=ORIENTED_EDGE('',*,*,#14674,.T.); #23383=ORIENTED_EDGE('',*,*,#14671,.T.); #23384=ORIENTED_EDGE('',*,*,#14668,.T.); #23385=ORIENTED_EDGE('',*,*,#14665,.T.); #23386=ORIENTED_EDGE('',*,*,#14662,.T.); #23387=ORIENTED_EDGE('',*,*,#14659,.T.); #23388=ORIENTED_EDGE('',*,*,#14748,.T.); #23389=ORIENTED_EDGE('',*,*,#14845,.T.); #23390=ORIENTED_EDGE('',*,*,#14842,.T.); #23391=ORIENTED_EDGE('',*,*,#14839,.T.); #23392=ORIENTED_EDGE('',*,*,#14836,.T.); #23393=ORIENTED_EDGE('',*,*,#14833,.T.); #23394=ORIENTED_EDGE('',*,*,#14830,.T.); #23395=ORIENTED_EDGE('',*,*,#14827,.T.); #23396=ORIENTED_EDGE('',*,*,#14824,.T.); #23397=ORIENTED_EDGE('',*,*,#14821,.T.); #23398=ORIENTED_EDGE('',*,*,#14818,.T.); #23399=ORIENTED_EDGE('',*,*,#14815,.T.); #23400=ORIENTED_EDGE('',*,*,#14812,.T.); #23401=ORIENTED_EDGE('',*,*,#14809,.T.); #23402=ORIENTED_EDGE('',*,*,#14806,.T.); #23403=ORIENTED_EDGE('',*,*,#14803,.T.); #23404=ORIENTED_EDGE('',*,*,#14800,.T.); #23405=ORIENTED_EDGE('',*,*,#14797,.T.); #23406=ORIENTED_EDGE('',*,*,#14794,.T.); #23407=ORIENTED_EDGE('',*,*,#14791,.T.); #23408=ORIENTED_EDGE('',*,*,#14788,.T.); #23409=ORIENTED_EDGE('',*,*,#14785,.T.); #23410=ORIENTED_EDGE('',*,*,#14782,.T.); #23411=ORIENTED_EDGE('',*,*,#14779,.T.); #23412=ORIENTED_EDGE('',*,*,#14776,.T.); #23413=ORIENTED_EDGE('',*,*,#14773,.T.); #23414=ORIENTED_EDGE('',*,*,#14770,.T.); #23415=ORIENTED_EDGE('',*,*,#14767,.T.); #23416=ORIENTED_EDGE('',*,*,#14764,.T.); #23417=ORIENTED_EDGE('',*,*,#14761,.T.); #23418=ORIENTED_EDGE('',*,*,#14758,.T.); #23419=ORIENTED_EDGE('',*,*,#14755,.T.); #23420=ORIENTED_EDGE('',*,*,#14752,.T.); #23421=ORIENTED_EDGE('',*,*,#14847,.T.); #23422=ORIENTED_EDGE('',*,*,#15097,.T.); #23423=ORIENTED_EDGE('',*,*,#15094,.T.); #23424=ORIENTED_EDGE('',*,*,#15091,.T.); #23425=ORIENTED_EDGE('',*,*,#15088,.T.); #23426=ORIENTED_EDGE('',*,*,#15085,.T.); #23427=ORIENTED_EDGE('',*,*,#15082,.T.); #23428=ORIENTED_EDGE('',*,*,#15079,.T.); #23429=ORIENTED_EDGE('',*,*,#15076,.T.); #23430=ORIENTED_EDGE('',*,*,#15073,.T.); #23431=ORIENTED_EDGE('',*,*,#15070,.T.); #23432=ORIENTED_EDGE('',*,*,#15067,.T.); #23433=ORIENTED_EDGE('',*,*,#15064,.T.); #23434=ORIENTED_EDGE('',*,*,#15061,.T.); #23435=ORIENTED_EDGE('',*,*,#15058,.T.); #23436=ORIENTED_EDGE('',*,*,#15055,.T.); #23437=ORIENTED_EDGE('',*,*,#15052,.T.); #23438=ORIENTED_EDGE('',*,*,#15049,.T.); #23439=ORIENTED_EDGE('',*,*,#15046,.T.); #23440=ORIENTED_EDGE('',*,*,#15043,.T.); #23441=ORIENTED_EDGE('',*,*,#15040,.T.); #23442=ORIENTED_EDGE('',*,*,#15037,.T.); #23443=ORIENTED_EDGE('',*,*,#15034,.T.); #23444=ORIENTED_EDGE('',*,*,#15031,.T.); #23445=ORIENTED_EDGE('',*,*,#15028,.T.); #23446=ORIENTED_EDGE('',*,*,#15025,.T.); #23447=ORIENTED_EDGE('',*,*,#15022,.T.); #23448=ORIENTED_EDGE('',*,*,#15019,.T.); #23449=ORIENTED_EDGE('',*,*,#15016,.T.); #23450=ORIENTED_EDGE('',*,*,#15013,.T.); #23451=ORIENTED_EDGE('',*,*,#15010,.T.); #23452=ORIENTED_EDGE('',*,*,#15007,.T.); #23453=ORIENTED_EDGE('',*,*,#15004,.T.); #23454=ORIENTED_EDGE('',*,*,#15001,.T.); #23455=ORIENTED_EDGE('',*,*,#14998,.T.); #23456=ORIENTED_EDGE('',*,*,#14995,.T.); #23457=ORIENTED_EDGE('',*,*,#14992,.T.); #23458=ORIENTED_EDGE('',*,*,#14989,.T.); #23459=ORIENTED_EDGE('',*,*,#14986,.T.); #23460=ORIENTED_EDGE('',*,*,#14983,.T.); #23461=ORIENTED_EDGE('',*,*,#14980,.T.); #23462=ORIENTED_EDGE('',*,*,#14977,.T.); #23463=ORIENTED_EDGE('',*,*,#14974,.T.); #23464=ORIENTED_EDGE('',*,*,#14971,.T.); #23465=ORIENTED_EDGE('',*,*,#14968,.T.); #23466=ORIENTED_EDGE('',*,*,#14965,.T.); #23467=ORIENTED_EDGE('',*,*,#14962,.T.); #23468=ORIENTED_EDGE('',*,*,#14959,.T.); #23469=ORIENTED_EDGE('',*,*,#14956,.T.); #23470=ORIENTED_EDGE('',*,*,#14953,.T.); #23471=ORIENTED_EDGE('',*,*,#14950,.T.); #23472=ORIENTED_EDGE('',*,*,#14947,.T.); #23473=ORIENTED_EDGE('',*,*,#14944,.T.); #23474=ORIENTED_EDGE('',*,*,#14941,.T.); #23475=ORIENTED_EDGE('',*,*,#14938,.T.); #23476=ORIENTED_EDGE('',*,*,#14935,.T.); #23477=ORIENTED_EDGE('',*,*,#14932,.T.); #23478=ORIENTED_EDGE('',*,*,#14929,.T.); #23479=ORIENTED_EDGE('',*,*,#14926,.T.); #23480=ORIENTED_EDGE('',*,*,#14923,.T.); #23481=ORIENTED_EDGE('',*,*,#14920,.T.); #23482=ORIENTED_EDGE('',*,*,#14917,.T.); #23483=ORIENTED_EDGE('',*,*,#14914,.T.); #23484=ORIENTED_EDGE('',*,*,#14911,.T.); #23485=ORIENTED_EDGE('',*,*,#14908,.T.); #23486=ORIENTED_EDGE('',*,*,#14905,.T.); #23487=ORIENTED_EDGE('',*,*,#14902,.T.); #23488=ORIENTED_EDGE('',*,*,#14899,.T.); #23489=ORIENTED_EDGE('',*,*,#14896,.T.); #23490=ORIENTED_EDGE('',*,*,#14893,.T.); #23491=ORIENTED_EDGE('',*,*,#14890,.T.); #23492=ORIENTED_EDGE('',*,*,#14887,.T.); #23493=ORIENTED_EDGE('',*,*,#14884,.T.); #23494=ORIENTED_EDGE('',*,*,#14881,.T.); #23495=ORIENTED_EDGE('',*,*,#14878,.T.); #23496=ORIENTED_EDGE('',*,*,#14875,.T.); #23497=ORIENTED_EDGE('',*,*,#14872,.T.); #23498=ORIENTED_EDGE('',*,*,#14869,.T.); #23499=ORIENTED_EDGE('',*,*,#14866,.T.); #23500=ORIENTED_EDGE('',*,*,#14863,.T.); #23501=ORIENTED_EDGE('',*,*,#14860,.T.); #23502=ORIENTED_EDGE('',*,*,#14857,.T.); #23503=ORIENTED_EDGE('',*,*,#14854,.T.); #23504=ORIENTED_EDGE('',*,*,#14851,.T.); #23505=ORIENTED_EDGE('',*,*,#15099,.T.); #23506=ORIENTED_EDGE('',*,*,#15259,.T.); #23507=ORIENTED_EDGE('',*,*,#15256,.T.); #23508=ORIENTED_EDGE('',*,*,#15253,.T.); #23509=ORIENTED_EDGE('',*,*,#15250,.T.); #23510=ORIENTED_EDGE('',*,*,#15247,.T.); #23511=ORIENTED_EDGE('',*,*,#15244,.T.); #23512=ORIENTED_EDGE('',*,*,#15241,.T.); #23513=ORIENTED_EDGE('',*,*,#15238,.T.); #23514=ORIENTED_EDGE('',*,*,#15235,.T.); #23515=ORIENTED_EDGE('',*,*,#15232,.T.); #23516=ORIENTED_EDGE('',*,*,#15229,.T.); #23517=ORIENTED_EDGE('',*,*,#15226,.T.); #23518=ORIENTED_EDGE('',*,*,#15223,.T.); #23519=ORIENTED_EDGE('',*,*,#15220,.T.); #23520=ORIENTED_EDGE('',*,*,#15217,.T.); #23521=ORIENTED_EDGE('',*,*,#15214,.T.); #23522=ORIENTED_EDGE('',*,*,#15211,.T.); #23523=ORIENTED_EDGE('',*,*,#15208,.T.); #23524=ORIENTED_EDGE('',*,*,#15205,.T.); #23525=ORIENTED_EDGE('',*,*,#15202,.T.); #23526=ORIENTED_EDGE('',*,*,#15199,.T.); #23527=ORIENTED_EDGE('',*,*,#15196,.T.); #23528=ORIENTED_EDGE('',*,*,#15193,.T.); #23529=ORIENTED_EDGE('',*,*,#15190,.T.); #23530=ORIENTED_EDGE('',*,*,#15187,.T.); #23531=ORIENTED_EDGE('',*,*,#15184,.T.); #23532=ORIENTED_EDGE('',*,*,#15181,.T.); #23533=ORIENTED_EDGE('',*,*,#15178,.T.); #23534=ORIENTED_EDGE('',*,*,#15175,.T.); #23535=ORIENTED_EDGE('',*,*,#15172,.T.); #23536=ORIENTED_EDGE('',*,*,#15169,.T.); #23537=ORIENTED_EDGE('',*,*,#15166,.T.); #23538=ORIENTED_EDGE('',*,*,#15163,.T.); #23539=ORIENTED_EDGE('',*,*,#15160,.T.); #23540=ORIENTED_EDGE('',*,*,#15157,.T.); #23541=ORIENTED_EDGE('',*,*,#15154,.T.); #23542=ORIENTED_EDGE('',*,*,#15151,.T.); #23543=ORIENTED_EDGE('',*,*,#15148,.T.); #23544=ORIENTED_EDGE('',*,*,#15145,.T.); #23545=ORIENTED_EDGE('',*,*,#15142,.T.); #23546=ORIENTED_EDGE('',*,*,#15139,.T.); #23547=ORIENTED_EDGE('',*,*,#15136,.T.); #23548=ORIENTED_EDGE('',*,*,#15133,.T.); #23549=ORIENTED_EDGE('',*,*,#15130,.T.); #23550=ORIENTED_EDGE('',*,*,#15127,.T.); #23551=ORIENTED_EDGE('',*,*,#15124,.T.); #23552=ORIENTED_EDGE('',*,*,#15121,.T.); #23553=ORIENTED_EDGE('',*,*,#15118,.T.); #23554=ORIENTED_EDGE('',*,*,#15115,.T.); #23555=ORIENTED_EDGE('',*,*,#15112,.T.); #23556=ORIENTED_EDGE('',*,*,#15109,.T.); #23557=ORIENTED_EDGE('',*,*,#15106,.T.); #23558=ORIENTED_EDGE('',*,*,#15103,.T.); #23559=ORIENTED_EDGE('',*,*,#15261,.T.); #23560=ORIENTED_EDGE('',*,*,#15391,.T.); #23561=ORIENTED_EDGE('',*,*,#15388,.T.); #23562=ORIENTED_EDGE('',*,*,#15385,.T.); #23563=ORIENTED_EDGE('',*,*,#15382,.T.); #23564=ORIENTED_EDGE('',*,*,#15379,.T.); #23565=ORIENTED_EDGE('',*,*,#15376,.T.); #23566=ORIENTED_EDGE('',*,*,#15373,.T.); #23567=ORIENTED_EDGE('',*,*,#15370,.T.); #23568=ORIENTED_EDGE('',*,*,#15367,.T.); #23569=ORIENTED_EDGE('',*,*,#15364,.T.); #23570=ORIENTED_EDGE('',*,*,#15361,.T.); #23571=ORIENTED_EDGE('',*,*,#15358,.T.); #23572=ORIENTED_EDGE('',*,*,#15355,.T.); #23573=ORIENTED_EDGE('',*,*,#15352,.T.); #23574=ORIENTED_EDGE('',*,*,#15349,.T.); #23575=ORIENTED_EDGE('',*,*,#15346,.T.); #23576=ORIENTED_EDGE('',*,*,#15343,.T.); #23577=ORIENTED_EDGE('',*,*,#15340,.T.); #23578=ORIENTED_EDGE('',*,*,#15337,.T.); #23579=ORIENTED_EDGE('',*,*,#15334,.T.); #23580=ORIENTED_EDGE('',*,*,#15331,.T.); #23581=ORIENTED_EDGE('',*,*,#15328,.T.); #23582=ORIENTED_EDGE('',*,*,#15325,.T.); #23583=ORIENTED_EDGE('',*,*,#15322,.T.); #23584=ORIENTED_EDGE('',*,*,#15319,.T.); #23585=ORIENTED_EDGE('',*,*,#15316,.T.); #23586=ORIENTED_EDGE('',*,*,#15313,.T.); #23587=ORIENTED_EDGE('',*,*,#15310,.T.); #23588=ORIENTED_EDGE('',*,*,#15307,.T.); #23589=ORIENTED_EDGE('',*,*,#15304,.T.); #23590=ORIENTED_EDGE('',*,*,#15301,.T.); #23591=ORIENTED_EDGE('',*,*,#15298,.T.); #23592=ORIENTED_EDGE('',*,*,#15295,.T.); #23593=ORIENTED_EDGE('',*,*,#15292,.T.); #23594=ORIENTED_EDGE('',*,*,#15289,.T.); #23595=ORIENTED_EDGE('',*,*,#15286,.T.); #23596=ORIENTED_EDGE('',*,*,#15283,.T.); #23597=ORIENTED_EDGE('',*,*,#15280,.T.); #23598=ORIENTED_EDGE('',*,*,#15277,.T.); #23599=ORIENTED_EDGE('',*,*,#15274,.T.); #23600=ORIENTED_EDGE('',*,*,#15271,.T.); #23601=ORIENTED_EDGE('',*,*,#15268,.T.); #23602=ORIENTED_EDGE('',*,*,#15265,.T.); #23603=ORIENTED_EDGE('',*,*,#15393,.T.); #23604=ORIENTED_EDGE('',*,*,#15553,.T.); #23605=ORIENTED_EDGE('',*,*,#15550,.T.); #23606=ORIENTED_EDGE('',*,*,#15547,.T.); #23607=ORIENTED_EDGE('',*,*,#15544,.T.); #23608=ORIENTED_EDGE('',*,*,#15541,.T.); #23609=ORIENTED_EDGE('',*,*,#15538,.T.); #23610=ORIENTED_EDGE('',*,*,#15535,.T.); #23611=ORIENTED_EDGE('',*,*,#15532,.T.); #23612=ORIENTED_EDGE('',*,*,#15529,.T.); #23613=ORIENTED_EDGE('',*,*,#15526,.T.); #23614=ORIENTED_EDGE('',*,*,#15523,.T.); #23615=ORIENTED_EDGE('',*,*,#15520,.T.); #23616=ORIENTED_EDGE('',*,*,#15517,.T.); #23617=ORIENTED_EDGE('',*,*,#15514,.T.); #23618=ORIENTED_EDGE('',*,*,#15511,.T.); #23619=ORIENTED_EDGE('',*,*,#15508,.T.); #23620=ORIENTED_EDGE('',*,*,#15505,.T.); #23621=ORIENTED_EDGE('',*,*,#15502,.T.); #23622=ORIENTED_EDGE('',*,*,#15499,.T.); #23623=ORIENTED_EDGE('',*,*,#15496,.T.); #23624=ORIENTED_EDGE('',*,*,#15493,.T.); #23625=ORIENTED_EDGE('',*,*,#15490,.T.); #23626=ORIENTED_EDGE('',*,*,#15487,.T.); #23627=ORIENTED_EDGE('',*,*,#15484,.T.); #23628=ORIENTED_EDGE('',*,*,#15481,.T.); #23629=ORIENTED_EDGE('',*,*,#15478,.T.); #23630=ORIENTED_EDGE('',*,*,#15475,.T.); #23631=ORIENTED_EDGE('',*,*,#15472,.T.); #23632=ORIENTED_EDGE('',*,*,#15469,.T.); #23633=ORIENTED_EDGE('',*,*,#15466,.T.); #23634=ORIENTED_EDGE('',*,*,#15463,.T.); #23635=ORIENTED_EDGE('',*,*,#15460,.T.); #23636=ORIENTED_EDGE('',*,*,#15457,.T.); #23637=ORIENTED_EDGE('',*,*,#15454,.T.); #23638=ORIENTED_EDGE('',*,*,#15451,.T.); #23639=ORIENTED_EDGE('',*,*,#15448,.T.); #23640=ORIENTED_EDGE('',*,*,#15445,.T.); #23641=ORIENTED_EDGE('',*,*,#15442,.T.); #23642=ORIENTED_EDGE('',*,*,#15439,.T.); #23643=ORIENTED_EDGE('',*,*,#15436,.T.); #23644=ORIENTED_EDGE('',*,*,#15433,.T.); #23645=ORIENTED_EDGE('',*,*,#15430,.T.); #23646=ORIENTED_EDGE('',*,*,#15427,.T.); #23647=ORIENTED_EDGE('',*,*,#15424,.T.); #23648=ORIENTED_EDGE('',*,*,#15421,.T.); #23649=ORIENTED_EDGE('',*,*,#15418,.T.); #23650=ORIENTED_EDGE('',*,*,#15415,.T.); #23651=ORIENTED_EDGE('',*,*,#15412,.T.); #23652=ORIENTED_EDGE('',*,*,#15409,.T.); #23653=ORIENTED_EDGE('',*,*,#15406,.T.); #23654=ORIENTED_EDGE('',*,*,#15403,.T.); #23655=ORIENTED_EDGE('',*,*,#15400,.T.); #23656=ORIENTED_EDGE('',*,*,#15397,.T.); #23657=ORIENTED_EDGE('',*,*,#15555,.T.); #23658=ORIENTED_EDGE('',*,*,#15679,.T.); #23659=ORIENTED_EDGE('',*,*,#15676,.T.); #23660=ORIENTED_EDGE('',*,*,#15673,.T.); #23661=ORIENTED_EDGE('',*,*,#15670,.T.); #23662=ORIENTED_EDGE('',*,*,#15667,.T.); #23663=ORIENTED_EDGE('',*,*,#15664,.T.); #23664=ORIENTED_EDGE('',*,*,#15661,.T.); #23665=ORIENTED_EDGE('',*,*,#15658,.T.); #23666=ORIENTED_EDGE('',*,*,#15655,.T.); #23667=ORIENTED_EDGE('',*,*,#15652,.T.); #23668=ORIENTED_EDGE('',*,*,#15649,.T.); #23669=ORIENTED_EDGE('',*,*,#15646,.T.); #23670=ORIENTED_EDGE('',*,*,#15643,.T.); #23671=ORIENTED_EDGE('',*,*,#15640,.T.); #23672=ORIENTED_EDGE('',*,*,#15637,.T.); #23673=ORIENTED_EDGE('',*,*,#15634,.T.); #23674=ORIENTED_EDGE('',*,*,#15631,.T.); #23675=ORIENTED_EDGE('',*,*,#15628,.T.); #23676=ORIENTED_EDGE('',*,*,#15625,.T.); #23677=ORIENTED_EDGE('',*,*,#15622,.T.); #23678=ORIENTED_EDGE('',*,*,#15619,.T.); #23679=ORIENTED_EDGE('',*,*,#15616,.T.); #23680=ORIENTED_EDGE('',*,*,#15613,.T.); #23681=ORIENTED_EDGE('',*,*,#15610,.T.); #23682=ORIENTED_EDGE('',*,*,#15607,.T.); #23683=ORIENTED_EDGE('',*,*,#15604,.T.); #23684=ORIENTED_EDGE('',*,*,#15601,.T.); #23685=ORIENTED_EDGE('',*,*,#15598,.T.); #23686=ORIENTED_EDGE('',*,*,#15595,.T.); #23687=ORIENTED_EDGE('',*,*,#15592,.T.); #23688=ORIENTED_EDGE('',*,*,#15589,.T.); #23689=ORIENTED_EDGE('',*,*,#15586,.T.); #23690=ORIENTED_EDGE('',*,*,#15583,.T.); #23691=ORIENTED_EDGE('',*,*,#15580,.T.); #23692=ORIENTED_EDGE('',*,*,#15577,.T.); #23693=ORIENTED_EDGE('',*,*,#15574,.T.); #23694=ORIENTED_EDGE('',*,*,#15571,.T.); #23695=ORIENTED_EDGE('',*,*,#15568,.T.); #23696=ORIENTED_EDGE('',*,*,#15565,.T.); #23697=ORIENTED_EDGE('',*,*,#15562,.T.); #23698=ORIENTED_EDGE('',*,*,#15559,.T.); #23699=ORIENTED_EDGE('',*,*,#15708,.T.); #23700=ORIENTED_EDGE('',*,*,#15739,.T.); #23701=ORIENTED_EDGE('',*,*,#15736,.T.); #23702=ORIENTED_EDGE('',*,*,#15733,.T.); #23703=ORIENTED_EDGE('',*,*,#15730,.T.); #23704=ORIENTED_EDGE('',*,*,#15727,.T.); #23705=ORIENTED_EDGE('',*,*,#15724,.T.); #23706=ORIENTED_EDGE('',*,*,#15721,.T.); #23707=ORIENTED_EDGE('',*,*,#15718,.T.); #23708=ORIENTED_EDGE('',*,*,#15715,.T.); #23709=ORIENTED_EDGE('',*,*,#15712,.T.); #23710=ORIENTED_EDGE('',*,*,#15741,.T.); #23711=ORIENTED_EDGE('',*,*,#15877,.T.); #23712=ORIENTED_EDGE('',*,*,#15874,.T.); #23713=ORIENTED_EDGE('',*,*,#15871,.T.); #23714=ORIENTED_EDGE('',*,*,#15868,.T.); #23715=ORIENTED_EDGE('',*,*,#15865,.T.); #23716=ORIENTED_EDGE('',*,*,#15862,.T.); #23717=ORIENTED_EDGE('',*,*,#15859,.T.); #23718=ORIENTED_EDGE('',*,*,#15856,.T.); #23719=ORIENTED_EDGE('',*,*,#15853,.T.); #23720=ORIENTED_EDGE('',*,*,#15850,.T.); #23721=ORIENTED_EDGE('',*,*,#15847,.T.); #23722=ORIENTED_EDGE('',*,*,#15844,.T.); #23723=ORIENTED_EDGE('',*,*,#15841,.T.); #23724=ORIENTED_EDGE('',*,*,#15838,.T.); #23725=ORIENTED_EDGE('',*,*,#15835,.T.); #23726=ORIENTED_EDGE('',*,*,#15832,.T.); #23727=ORIENTED_EDGE('',*,*,#15829,.T.); #23728=ORIENTED_EDGE('',*,*,#15826,.T.); #23729=ORIENTED_EDGE('',*,*,#15823,.T.); #23730=ORIENTED_EDGE('',*,*,#15820,.T.); #23731=ORIENTED_EDGE('',*,*,#15817,.T.); #23732=ORIENTED_EDGE('',*,*,#15814,.T.); #23733=ORIENTED_EDGE('',*,*,#15811,.T.); #23734=ORIENTED_EDGE('',*,*,#15808,.T.); #23735=ORIENTED_EDGE('',*,*,#15805,.T.); #23736=ORIENTED_EDGE('',*,*,#15802,.T.); #23737=ORIENTED_EDGE('',*,*,#15799,.T.); #23738=ORIENTED_EDGE('',*,*,#15796,.T.); #23739=ORIENTED_EDGE('',*,*,#15793,.T.); #23740=ORIENTED_EDGE('',*,*,#15790,.T.); #23741=ORIENTED_EDGE('',*,*,#15787,.T.); #23742=ORIENTED_EDGE('',*,*,#15784,.T.); #23743=ORIENTED_EDGE('',*,*,#15781,.T.); #23744=ORIENTED_EDGE('',*,*,#15778,.T.); #23745=ORIENTED_EDGE('',*,*,#15775,.T.); #23746=ORIENTED_EDGE('',*,*,#15772,.T.); #23747=ORIENTED_EDGE('',*,*,#15769,.T.); #23748=ORIENTED_EDGE('',*,*,#15766,.T.); #23749=ORIENTED_EDGE('',*,*,#15763,.T.); #23750=ORIENTED_EDGE('',*,*,#15760,.T.); #23751=ORIENTED_EDGE('',*,*,#15757,.T.); #23752=ORIENTED_EDGE('',*,*,#15754,.T.); #23753=ORIENTED_EDGE('',*,*,#15751,.T.); #23754=ORIENTED_EDGE('',*,*,#15748,.T.); #23755=ORIENTED_EDGE('',*,*,#15745,.T.); #23756=ORIENTED_EDGE('',*,*,#15879,.T.); #23757=ORIENTED_EDGE('',*,*,#15949,.T.); #23758=ORIENTED_EDGE('',*,*,#15946,.T.); #23759=ORIENTED_EDGE('',*,*,#15943,.T.); #23760=ORIENTED_EDGE('',*,*,#15940,.T.); #23761=ORIENTED_EDGE('',*,*,#15937,.T.); #23762=ORIENTED_EDGE('',*,*,#15934,.T.); #23763=ORIENTED_EDGE('',*,*,#15931,.T.); #23764=ORIENTED_EDGE('',*,*,#15928,.T.); #23765=ORIENTED_EDGE('',*,*,#15925,.T.); #23766=ORIENTED_EDGE('',*,*,#15922,.T.); #23767=ORIENTED_EDGE('',*,*,#15919,.T.); #23768=ORIENTED_EDGE('',*,*,#15916,.T.); #23769=ORIENTED_EDGE('',*,*,#15913,.T.); #23770=ORIENTED_EDGE('',*,*,#15910,.T.); #23771=ORIENTED_EDGE('',*,*,#15907,.T.); #23772=ORIENTED_EDGE('',*,*,#15904,.T.); #23773=ORIENTED_EDGE('',*,*,#15901,.T.); #23774=ORIENTED_EDGE('',*,*,#15898,.T.); #23775=ORIENTED_EDGE('',*,*,#15895,.T.); #23776=ORIENTED_EDGE('',*,*,#15892,.T.); #23777=ORIENTED_EDGE('',*,*,#15889,.T.); #23778=ORIENTED_EDGE('',*,*,#15886,.T.); #23779=ORIENTED_EDGE('',*,*,#15883,.T.); #23780=ORIENTED_EDGE('',*,*,#15978,.T.); #23781=ORIENTED_EDGE('',*,*,#16069,.T.); #23782=ORIENTED_EDGE('',*,*,#16066,.T.); #23783=ORIENTED_EDGE('',*,*,#16063,.T.); #23784=ORIENTED_EDGE('',*,*,#16060,.T.); #23785=ORIENTED_EDGE('',*,*,#16057,.T.); #23786=ORIENTED_EDGE('',*,*,#16054,.T.); #23787=ORIENTED_EDGE('',*,*,#16051,.T.); #23788=ORIENTED_EDGE('',*,*,#16048,.T.); #23789=ORIENTED_EDGE('',*,*,#16045,.T.); #23790=ORIENTED_EDGE('',*,*,#16042,.T.); #23791=ORIENTED_EDGE('',*,*,#16039,.T.); #23792=ORIENTED_EDGE('',*,*,#16036,.T.); #23793=ORIENTED_EDGE('',*,*,#16033,.T.); #23794=ORIENTED_EDGE('',*,*,#16030,.T.); #23795=ORIENTED_EDGE('',*,*,#16027,.T.); #23796=ORIENTED_EDGE('',*,*,#16024,.T.); #23797=ORIENTED_EDGE('',*,*,#16021,.T.); #23798=ORIENTED_EDGE('',*,*,#16018,.T.); #23799=ORIENTED_EDGE('',*,*,#16015,.T.); #23800=ORIENTED_EDGE('',*,*,#16012,.T.); #23801=ORIENTED_EDGE('',*,*,#16009,.T.); #23802=ORIENTED_EDGE('',*,*,#16006,.T.); #23803=ORIENTED_EDGE('',*,*,#16003,.T.); #23804=ORIENTED_EDGE('',*,*,#16000,.T.); #23805=ORIENTED_EDGE('',*,*,#15997,.T.); #23806=ORIENTED_EDGE('',*,*,#15994,.T.); #23807=ORIENTED_EDGE('',*,*,#15991,.T.); #23808=ORIENTED_EDGE('',*,*,#15988,.T.); #23809=ORIENTED_EDGE('',*,*,#15985,.T.); #23810=ORIENTED_EDGE('',*,*,#15982,.T.); #23811=ORIENTED_EDGE('',*,*,#16071,.T.); #23812=ORIENTED_EDGE('',*,*,#16180,.T.); #23813=ORIENTED_EDGE('',*,*,#16177,.T.); #23814=ORIENTED_EDGE('',*,*,#16174,.T.); #23815=ORIENTED_EDGE('',*,*,#16171,.T.); #23816=ORIENTED_EDGE('',*,*,#16168,.T.); #23817=ORIENTED_EDGE('',*,*,#16165,.T.); #23818=ORIENTED_EDGE('',*,*,#16162,.T.); #23819=ORIENTED_EDGE('',*,*,#16159,.T.); #23820=ORIENTED_EDGE('',*,*,#16156,.T.); #23821=ORIENTED_EDGE('',*,*,#16153,.T.); #23822=ORIENTED_EDGE('',*,*,#16150,.T.); #23823=ORIENTED_EDGE('',*,*,#16147,.T.); #23824=ORIENTED_EDGE('',*,*,#16144,.T.); #23825=ORIENTED_EDGE('',*,*,#16141,.T.); #23826=ORIENTED_EDGE('',*,*,#16138,.T.); #23827=ORIENTED_EDGE('',*,*,#16135,.T.); #23828=ORIENTED_EDGE('',*,*,#16132,.T.); #23829=ORIENTED_EDGE('',*,*,#16129,.T.); #23830=ORIENTED_EDGE('',*,*,#16126,.T.); #23831=ORIENTED_EDGE('',*,*,#16123,.T.); #23832=ORIENTED_EDGE('',*,*,#16120,.T.); #23833=ORIENTED_EDGE('',*,*,#16117,.T.); #23834=ORIENTED_EDGE('',*,*,#16114,.T.); #23835=ORIENTED_EDGE('',*,*,#16111,.T.); #23836=ORIENTED_EDGE('',*,*,#16108,.T.); #23837=ORIENTED_EDGE('',*,*,#16105,.T.); #23838=ORIENTED_EDGE('',*,*,#16102,.T.); #23839=ORIENTED_EDGE('',*,*,#16099,.T.); #23840=ORIENTED_EDGE('',*,*,#16096,.T.); #23841=ORIENTED_EDGE('',*,*,#16093,.T.); #23842=ORIENTED_EDGE('',*,*,#16090,.T.); #23843=ORIENTED_EDGE('',*,*,#16087,.T.); #23844=ORIENTED_EDGE('',*,*,#16084,.T.); #23845=ORIENTED_EDGE('',*,*,#16081,.T.); #23846=ORIENTED_EDGE('',*,*,#16078,.T.); #23847=ORIENTED_EDGE('',*,*,#16075,.T.); #23848=ORIENTED_EDGE('',*,*,#16182,.T.); #23849=ORIENTED_EDGE('',*,*,#16312,.T.); #23850=ORIENTED_EDGE('',*,*,#16309,.T.); #23851=ORIENTED_EDGE('',*,*,#16306,.T.); #23852=ORIENTED_EDGE('',*,*,#16303,.T.); #23853=ORIENTED_EDGE('',*,*,#16300,.T.); #23854=ORIENTED_EDGE('',*,*,#16297,.T.); #23855=ORIENTED_EDGE('',*,*,#16294,.T.); #23856=ORIENTED_EDGE('',*,*,#16291,.T.); #23857=ORIENTED_EDGE('',*,*,#16288,.T.); #23858=ORIENTED_EDGE('',*,*,#16285,.T.); #23859=ORIENTED_EDGE('',*,*,#16282,.T.); #23860=ORIENTED_EDGE('',*,*,#16279,.T.); #23861=ORIENTED_EDGE('',*,*,#16276,.T.); #23862=ORIENTED_EDGE('',*,*,#16273,.T.); #23863=ORIENTED_EDGE('',*,*,#16270,.T.); #23864=ORIENTED_EDGE('',*,*,#16267,.T.); #23865=ORIENTED_EDGE('',*,*,#16264,.T.); #23866=ORIENTED_EDGE('',*,*,#16261,.T.); #23867=ORIENTED_EDGE('',*,*,#16258,.T.); #23868=ORIENTED_EDGE('',*,*,#16255,.T.); #23869=ORIENTED_EDGE('',*,*,#16252,.T.); #23870=ORIENTED_EDGE('',*,*,#16249,.T.); #23871=ORIENTED_EDGE('',*,*,#16246,.T.); #23872=ORIENTED_EDGE('',*,*,#16243,.T.); #23873=ORIENTED_EDGE('',*,*,#16240,.T.); #23874=ORIENTED_EDGE('',*,*,#16237,.T.); #23875=ORIENTED_EDGE('',*,*,#16234,.T.); #23876=ORIENTED_EDGE('',*,*,#16231,.T.); #23877=ORIENTED_EDGE('',*,*,#16228,.T.); #23878=ORIENTED_EDGE('',*,*,#16225,.T.); #23879=ORIENTED_EDGE('',*,*,#16222,.T.); #23880=ORIENTED_EDGE('',*,*,#16219,.T.); #23881=ORIENTED_EDGE('',*,*,#16216,.T.); #23882=ORIENTED_EDGE('',*,*,#16213,.T.); #23883=ORIENTED_EDGE('',*,*,#16210,.T.); #23884=ORIENTED_EDGE('',*,*,#16207,.T.); #23885=ORIENTED_EDGE('',*,*,#16204,.T.); #23886=ORIENTED_EDGE('',*,*,#16201,.T.); #23887=ORIENTED_EDGE('',*,*,#16198,.T.); #23888=ORIENTED_EDGE('',*,*,#16195,.T.); #23889=ORIENTED_EDGE('',*,*,#16192,.T.); #23890=ORIENTED_EDGE('',*,*,#16189,.T.); #23891=ORIENTED_EDGE('',*,*,#16186,.T.); #23892=ORIENTED_EDGE('',*,*,#16314,.T.); #23893=ORIENTED_EDGE('',*,*,#16474,.T.); #23894=ORIENTED_EDGE('',*,*,#16471,.T.); #23895=ORIENTED_EDGE('',*,*,#16468,.T.); #23896=ORIENTED_EDGE('',*,*,#16465,.T.); #23897=ORIENTED_EDGE('',*,*,#16462,.T.); #23898=ORIENTED_EDGE('',*,*,#16459,.T.); #23899=ORIENTED_EDGE('',*,*,#16456,.T.); #23900=ORIENTED_EDGE('',*,*,#16453,.T.); #23901=ORIENTED_EDGE('',*,*,#16450,.T.); #23902=ORIENTED_EDGE('',*,*,#16447,.T.); #23903=ORIENTED_EDGE('',*,*,#16444,.T.); #23904=ORIENTED_EDGE('',*,*,#16441,.T.); #23905=ORIENTED_EDGE('',*,*,#16438,.T.); #23906=ORIENTED_EDGE('',*,*,#16435,.T.); #23907=ORIENTED_EDGE('',*,*,#16432,.T.); #23908=ORIENTED_EDGE('',*,*,#16429,.T.); #23909=ORIENTED_EDGE('',*,*,#16426,.T.); #23910=ORIENTED_EDGE('',*,*,#16423,.T.); #23911=ORIENTED_EDGE('',*,*,#16420,.T.); #23912=ORIENTED_EDGE('',*,*,#16417,.T.); #23913=ORIENTED_EDGE('',*,*,#16414,.T.); #23914=ORIENTED_EDGE('',*,*,#16411,.T.); #23915=ORIENTED_EDGE('',*,*,#16408,.T.); #23916=ORIENTED_EDGE('',*,*,#16405,.T.); #23917=ORIENTED_EDGE('',*,*,#16402,.T.); #23918=ORIENTED_EDGE('',*,*,#16399,.T.); #23919=ORIENTED_EDGE('',*,*,#16396,.T.); #23920=ORIENTED_EDGE('',*,*,#16393,.T.); #23921=ORIENTED_EDGE('',*,*,#16390,.T.); #23922=ORIENTED_EDGE('',*,*,#16387,.T.); #23923=ORIENTED_EDGE('',*,*,#16384,.T.); #23924=ORIENTED_EDGE('',*,*,#16381,.T.); #23925=ORIENTED_EDGE('',*,*,#16378,.T.); #23926=ORIENTED_EDGE('',*,*,#16375,.T.); #23927=ORIENTED_EDGE('',*,*,#16372,.T.); #23928=ORIENTED_EDGE('',*,*,#16369,.T.); #23929=ORIENTED_EDGE('',*,*,#16366,.T.); #23930=ORIENTED_EDGE('',*,*,#16363,.T.); #23931=ORIENTED_EDGE('',*,*,#16360,.T.); #23932=ORIENTED_EDGE('',*,*,#16357,.T.); #23933=ORIENTED_EDGE('',*,*,#16354,.T.); #23934=ORIENTED_EDGE('',*,*,#16351,.T.); #23935=ORIENTED_EDGE('',*,*,#16348,.T.); #23936=ORIENTED_EDGE('',*,*,#16345,.T.); #23937=ORIENTED_EDGE('',*,*,#16342,.T.); #23938=ORIENTED_EDGE('',*,*,#16339,.T.); #23939=ORIENTED_EDGE('',*,*,#16336,.T.); #23940=ORIENTED_EDGE('',*,*,#16333,.T.); #23941=ORIENTED_EDGE('',*,*,#16330,.T.); #23942=ORIENTED_EDGE('',*,*,#16327,.T.); #23943=ORIENTED_EDGE('',*,*,#16324,.T.); #23944=ORIENTED_EDGE('',*,*,#16321,.T.); #23945=ORIENTED_EDGE('',*,*,#16318,.T.); #23946=ORIENTED_EDGE('',*,*,#16476,.T.); #23947=ORIENTED_EDGE('',*,*,#16507,.T.); #23948=ORIENTED_EDGE('',*,*,#16504,.T.); #23949=ORIENTED_EDGE('',*,*,#16501,.T.); #23950=ORIENTED_EDGE('',*,*,#16498,.T.); #23951=ORIENTED_EDGE('',*,*,#16495,.T.); #23952=ORIENTED_EDGE('',*,*,#16492,.T.); #23953=ORIENTED_EDGE('',*,*,#16489,.T.); #23954=ORIENTED_EDGE('',*,*,#16486,.T.); #23955=ORIENTED_EDGE('',*,*,#16483,.T.); #23956=ORIENTED_EDGE('',*,*,#16480,.T.); #23957=ORIENTED_EDGE('',*,*,#16539,.T.); #23958=ORIENTED_EDGE('',*,*,#16648,.T.); #23959=ORIENTED_EDGE('',*,*,#16645,.T.); #23960=ORIENTED_EDGE('',*,*,#16642,.T.); #23961=ORIENTED_EDGE('',*,*,#16639,.T.); #23962=ORIENTED_EDGE('',*,*,#16636,.T.); #23963=ORIENTED_EDGE('',*,*,#16633,.T.); #23964=ORIENTED_EDGE('',*,*,#16630,.T.); #23965=ORIENTED_EDGE('',*,*,#16627,.T.); #23966=ORIENTED_EDGE('',*,*,#16624,.T.); #23967=ORIENTED_EDGE('',*,*,#16621,.T.); #23968=ORIENTED_EDGE('',*,*,#16618,.T.); #23969=ORIENTED_EDGE('',*,*,#16615,.T.); #23970=ORIENTED_EDGE('',*,*,#16612,.T.); #23971=ORIENTED_EDGE('',*,*,#16609,.T.); #23972=ORIENTED_EDGE('',*,*,#16606,.T.); #23973=ORIENTED_EDGE('',*,*,#16603,.T.); #23974=ORIENTED_EDGE('',*,*,#16600,.T.); #23975=ORIENTED_EDGE('',*,*,#16597,.T.); #23976=ORIENTED_EDGE('',*,*,#16594,.T.); #23977=ORIENTED_EDGE('',*,*,#16591,.T.); #23978=ORIENTED_EDGE('',*,*,#16588,.T.); #23979=ORIENTED_EDGE('',*,*,#16585,.T.); #23980=ORIENTED_EDGE('',*,*,#16582,.T.); #23981=ORIENTED_EDGE('',*,*,#16579,.T.); #23982=ORIENTED_EDGE('',*,*,#16576,.T.); #23983=ORIENTED_EDGE('',*,*,#16573,.T.); #23984=ORIENTED_EDGE('',*,*,#16570,.T.); #23985=ORIENTED_EDGE('',*,*,#16567,.T.); #23986=ORIENTED_EDGE('',*,*,#16564,.T.); #23987=ORIENTED_EDGE('',*,*,#16561,.T.); #23988=ORIENTED_EDGE('',*,*,#16558,.T.); #23989=ORIENTED_EDGE('',*,*,#16555,.T.); #23990=ORIENTED_EDGE('',*,*,#16552,.T.); #23991=ORIENTED_EDGE('',*,*,#16549,.T.); #23992=ORIENTED_EDGE('',*,*,#16546,.T.); #23993=ORIENTED_EDGE('',*,*,#16543,.T.); #23994=ORIENTED_EDGE('',*,*,#16650,.T.); #23995=ORIENTED_EDGE('',*,*,#16777,.T.); #23996=ORIENTED_EDGE('',*,*,#16774,.T.); #23997=ORIENTED_EDGE('',*,*,#16771,.T.); #23998=ORIENTED_EDGE('',*,*,#16768,.T.); #23999=ORIENTED_EDGE('',*,*,#16765,.T.); #24000=ORIENTED_EDGE('',*,*,#16762,.T.); #24001=ORIENTED_EDGE('',*,*,#16759,.T.); #24002=ORIENTED_EDGE('',*,*,#16756,.T.); #24003=ORIENTED_EDGE('',*,*,#16753,.T.); #24004=ORIENTED_EDGE('',*,*,#16750,.T.); #24005=ORIENTED_EDGE('',*,*,#16747,.T.); #24006=ORIENTED_EDGE('',*,*,#16744,.T.); #24007=ORIENTED_EDGE('',*,*,#16741,.T.); #24008=ORIENTED_EDGE('',*,*,#16738,.T.); #24009=ORIENTED_EDGE('',*,*,#16735,.T.); #24010=ORIENTED_EDGE('',*,*,#16732,.T.); #24011=ORIENTED_EDGE('',*,*,#16729,.T.); #24012=ORIENTED_EDGE('',*,*,#16726,.T.); #24013=ORIENTED_EDGE('',*,*,#16723,.T.); #24014=ORIENTED_EDGE('',*,*,#16720,.T.); #24015=ORIENTED_EDGE('',*,*,#16717,.T.); #24016=ORIENTED_EDGE('',*,*,#16714,.T.); #24017=ORIENTED_EDGE('',*,*,#16711,.T.); #24018=ORIENTED_EDGE('',*,*,#16708,.T.); #24019=ORIENTED_EDGE('',*,*,#16705,.T.); #24020=ORIENTED_EDGE('',*,*,#16702,.T.); #24021=ORIENTED_EDGE('',*,*,#16699,.T.); #24022=ORIENTED_EDGE('',*,*,#16696,.T.); #24023=ORIENTED_EDGE('',*,*,#16693,.T.); #24024=ORIENTED_EDGE('',*,*,#16690,.T.); #24025=ORIENTED_EDGE('',*,*,#16687,.T.); #24026=ORIENTED_EDGE('',*,*,#16684,.T.); #24027=ORIENTED_EDGE('',*,*,#16681,.T.); #24028=ORIENTED_EDGE('',*,*,#16678,.T.); #24029=ORIENTED_EDGE('',*,*,#16675,.T.); #24030=ORIENTED_EDGE('',*,*,#16672,.T.); #24031=ORIENTED_EDGE('',*,*,#16669,.T.); #24032=ORIENTED_EDGE('',*,*,#16666,.T.); #24033=ORIENTED_EDGE('',*,*,#16663,.T.); #24034=ORIENTED_EDGE('',*,*,#16660,.T.); #24035=ORIENTED_EDGE('',*,*,#16657,.T.); #24036=ORIENTED_EDGE('',*,*,#16654,.T.); #24037=ORIENTED_EDGE('',*,*,#16791,.F.); #24038=ORIENTED_EDGE('',*,*,#16792,.F.); #24039=ORIENTED_EDGE('',*,*,#16793,.F.); #24040=ORIENTED_EDGE('',*,*,#16794,.F.); #24041=ORIENTED_EDGE('',*,*,#16795,.F.); #24042=ORIENTED_EDGE('',*,*,#16796,.F.); #24043=ORIENTED_EDGE('',*,*,#16797,.F.); #24044=ORIENTED_EDGE('',*,*,#16798,.F.); #24045=ORIENTED_EDGE('',*,*,#16799,.F.); #24046=ORIENTED_EDGE('',*,*,#16800,.F.); #24047=ORIENTED_EDGE('',*,*,#16801,.F.); #24048=ORIENTED_EDGE('',*,*,#16802,.F.); #24049=ORIENTED_EDGE('',*,*,#16803,.F.); #24050=ORIENTED_EDGE('',*,*,#16804,.F.); #24051=ORIENTED_EDGE('',*,*,#15951,.T.); #24052=ORIENTED_EDGE('',*,*,#15976,.T.); #24053=ORIENTED_EDGE('',*,*,#15973,.T.); #24054=ORIENTED_EDGE('',*,*,#15970,.T.); #24055=ORIENTED_EDGE('',*,*,#15967,.T.); #24056=ORIENTED_EDGE('',*,*,#15964,.T.); #24057=ORIENTED_EDGE('',*,*,#15961,.T.); #24058=ORIENTED_EDGE('',*,*,#15958,.T.); #24059=ORIENTED_EDGE('',*,*,#15955,.T.); #24060=ORIENTED_EDGE('',*,*,#15681,.T.); #24061=ORIENTED_EDGE('',*,*,#15706,.T.); #24062=ORIENTED_EDGE('',*,*,#15703,.T.); #24063=ORIENTED_EDGE('',*,*,#15700,.T.); #24064=ORIENTED_EDGE('',*,*,#15697,.T.); #24065=ORIENTED_EDGE('',*,*,#15694,.T.); #24066=ORIENTED_EDGE('',*,*,#15691,.T.); #24067=ORIENTED_EDGE('',*,*,#15688,.T.); #24068=ORIENTED_EDGE('',*,*,#15685,.T.); #24069=ORIENTED_EDGE('',*,*,#16805,.F.); #24070=ORIENTED_EDGE('',*,*,#16806,.T.); #24071=ORIENTED_EDGE('',*,*,#16791,.T.); #24072=ORIENTED_EDGE('',*,*,#16806,.F.); #24073=ORIENTED_EDGE('',*,*,#16807,.F.); #24074=ORIENTED_EDGE('',*,*,#16808,.T.); #24075=ORIENTED_EDGE('',*,*,#16792,.T.); #24076=ORIENTED_EDGE('',*,*,#16808,.F.); #24077=ORIENTED_EDGE('',*,*,#16809,.F.); #24078=ORIENTED_EDGE('',*,*,#16810,.T.); #24079=ORIENTED_EDGE('',*,*,#16793,.T.); #24080=ORIENTED_EDGE('',*,*,#16810,.F.); #24081=ORIENTED_EDGE('',*,*,#16811,.F.); #24082=ORIENTED_EDGE('',*,*,#16812,.T.); #24083=ORIENTED_EDGE('',*,*,#16794,.T.); #24084=ORIENTED_EDGE('',*,*,#16812,.F.); #24085=ORIENTED_EDGE('',*,*,#16813,.F.); #24086=ORIENTED_EDGE('',*,*,#16814,.T.); #24087=ORIENTED_EDGE('',*,*,#16795,.T.); #24088=ORIENTED_EDGE('',*,*,#16814,.F.); #24089=ORIENTED_EDGE('',*,*,#16815,.F.); #24090=ORIENTED_EDGE('',*,*,#16816,.T.); #24091=ORIENTED_EDGE('',*,*,#16796,.T.); #24092=ORIENTED_EDGE('',*,*,#16816,.F.); #24093=ORIENTED_EDGE('',*,*,#16817,.F.); #24094=ORIENTED_EDGE('',*,*,#16818,.T.); #24095=ORIENTED_EDGE('',*,*,#16797,.T.); #24096=ORIENTED_EDGE('',*,*,#16818,.F.); #24097=ORIENTED_EDGE('',*,*,#16819,.F.); #24098=ORIENTED_EDGE('',*,*,#16820,.T.); #24099=ORIENTED_EDGE('',*,*,#16798,.T.); #24100=ORIENTED_EDGE('',*,*,#16820,.F.); #24101=ORIENTED_EDGE('',*,*,#16821,.F.); #24102=ORIENTED_EDGE('',*,*,#16822,.T.); #24103=ORIENTED_EDGE('',*,*,#16799,.T.); #24104=ORIENTED_EDGE('',*,*,#16822,.F.); #24105=ORIENTED_EDGE('',*,*,#16823,.F.); #24106=ORIENTED_EDGE('',*,*,#16824,.T.); #24107=ORIENTED_EDGE('',*,*,#16800,.T.); #24108=ORIENTED_EDGE('',*,*,#16824,.F.); #24109=ORIENTED_EDGE('',*,*,#16825,.F.); #24110=ORIENTED_EDGE('',*,*,#16826,.T.); #24111=ORIENTED_EDGE('',*,*,#16801,.T.); #24112=ORIENTED_EDGE('',*,*,#16826,.F.); #24113=ORIENTED_EDGE('',*,*,#16827,.F.); #24114=ORIENTED_EDGE('',*,*,#16828,.T.); #24115=ORIENTED_EDGE('',*,*,#16802,.T.); #24116=ORIENTED_EDGE('',*,*,#16828,.F.); #24117=ORIENTED_EDGE('',*,*,#16829,.F.); #24118=ORIENTED_EDGE('',*,*,#16830,.T.); #24119=ORIENTED_EDGE('',*,*,#16803,.T.); #24120=ORIENTED_EDGE('',*,*,#16830,.F.); #24121=ORIENTED_EDGE('',*,*,#16831,.F.); #24122=ORIENTED_EDGE('',*,*,#16832,.T.); #24123=ORIENTED_EDGE('',*,*,#16804,.T.); #24124=ORIENTED_EDGE('',*,*,#16832,.F.); #24125=ORIENTED_EDGE('',*,*,#16833,.T.); #24126=ORIENTED_EDGE('',*,*,#16834,.F.); #24127=ORIENTED_EDGE('',*,*,#16835,.F.); #24128=ORIENTED_EDGE('',*,*,#16836,.T.); #24129=ORIENTED_EDGE('',*,*,#16837,.F.); #24130=ORIENTED_EDGE('',*,*,#16838,.T.); #24131=ORIENTED_EDGE('',*,*,#16839,.T.); #24132=ORIENTED_EDGE('',*,*,#16840,.F.); #24133=ORIENTED_EDGE('',*,*,#16841,.F.); #24134=ORIENTED_EDGE('',*,*,#16842,.T.); #24135=ORIENTED_EDGE('',*,*,#16843,.T.); #24136=ORIENTED_EDGE('',*,*,#16844,.F.); #24137=ORIENTED_EDGE('',*,*,#16845,.T.); #24138=ORIENTED_EDGE('',*,*,#16846,.F.); #24139=ORIENTED_EDGE('',*,*,#16847,.F.); #24140=ORIENTED_EDGE('',*,*,#16848,.F.); #24141=ORIENTED_EDGE('',*,*,#16847,.T.); #24142=ORIENTED_EDGE('',*,*,#16849,.T.); #24143=ORIENTED_EDGE('',*,*,#16850,.F.); #24144=ORIENTED_EDGE('',*,*,#16851,.F.); #24145=ORIENTED_EDGE('',*,*,#16850,.T.); #24146=ORIENTED_EDGE('',*,*,#16852,.T.); #24147=ORIENTED_EDGE('',*,*,#16853,.F.); #24148=ORIENTED_EDGE('',*,*,#16854,.F.); #24149=ORIENTED_EDGE('',*,*,#16855,.F.); #24150=ORIENTED_EDGE('',*,*,#16856,.F.); #24151=ORIENTED_EDGE('',*,*,#16857,.T.); #24152=ORIENTED_EDGE('',*,*,#16853,.T.); #24153=ORIENTED_EDGE('',*,*,#16858,.F.); #24154=ORIENTED_EDGE('',*,*,#16845,.F.); #24155=ORIENTED_EDGE('',*,*,#16859,.T.); #24156=ORIENTED_EDGE('',*,*,#16860,.T.); #24157=ORIENTED_EDGE('',*,*,#16861,.T.); #24158=ORIENTED_EDGE('',*,*,#16862,.T.); #24159=ORIENTED_EDGE('',*,*,#16860,.F.); #24160=ORIENTED_EDGE('',*,*,#16863,.F.); #24161=ORIENTED_EDGE('',*,*,#16862,.F.); #24162=ORIENTED_EDGE('',*,*,#16864,.T.); #24163=ORIENTED_EDGE('',*,*,#16865,.F.); #24164=ORIENTED_EDGE('',*,*,#16855,.T.); #24165=ORIENTED_EDGE('',*,*,#16852,.F.); #24166=ORIENTED_EDGE('',*,*,#16849,.F.); #24167=ORIENTED_EDGE('',*,*,#16846,.T.); #24168=ORIENTED_EDGE('',*,*,#16858,.T.); #24169=ORIENTED_EDGE('',*,*,#16856,.T.); #24170=ORIENTED_EDGE('',*,*,#16865,.T.); #24171=ORIENTED_EDGE('',*,*,#16866,.F.); #24172=ORIENTED_EDGE('',*,*,#16867,.F.); #24173=ORIENTED_EDGE('',*,*,#16868,.F.); #24174=ORIENTED_EDGE('',*,*,#16863,.T.); #24175=ORIENTED_EDGE('',*,*,#16859,.F.); #24176=ORIENTED_EDGE('',*,*,#16848,.T.); #24177=ORIENTED_EDGE('',*,*,#16851,.T.); #24178=ORIENTED_EDGE('',*,*,#16854,.T.); #24179=ORIENTED_EDGE('',*,*,#16857,.F.); #24180=ORIENTED_EDGE('',*,*,#16867,.T.); #24181=ORIENTED_EDGE('',*,*,#16869,.T.); #24182=ORIENTED_EDGE('',*,*,#16870,.F.); #24183=ORIENTED_EDGE('',*,*,#16871,.F.); #24184=ORIENTED_EDGE('',*,*,#16872,.F.); #24185=ORIENTED_EDGE('',*,*,#16871,.T.); #24186=ORIENTED_EDGE('',*,*,#16873,.T.); #24187=ORIENTED_EDGE('',*,*,#16874,.F.); #24188=ORIENTED_EDGE('',*,*,#16875,.F.); #24189=ORIENTED_EDGE('',*,*,#16874,.T.); #24190=ORIENTED_EDGE('',*,*,#16876,.T.); #24191=ORIENTED_EDGE('',*,*,#16877,.F.); #24192=ORIENTED_EDGE('',*,*,#16878,.F.); #24193=ORIENTED_EDGE('',*,*,#16879,.F.); #24194=ORIENTED_EDGE('',*,*,#16880,.F.); #24195=ORIENTED_EDGE('',*,*,#16881,.T.); #24196=ORIENTED_EDGE('',*,*,#16877,.T.); #24197=ORIENTED_EDGE('',*,*,#16882,.F.); #24198=ORIENTED_EDGE('',*,*,#16869,.F.); #24199=ORIENTED_EDGE('',*,*,#16883,.T.); #24200=ORIENTED_EDGE('',*,*,#16884,.T.); #24201=ORIENTED_EDGE('',*,*,#16885,.T.); #24202=ORIENTED_EDGE('',*,*,#16886,.T.); #24203=ORIENTED_EDGE('',*,*,#16884,.F.); #24204=ORIENTED_EDGE('',*,*,#16887,.F.); #24205=ORIENTED_EDGE('',*,*,#16886,.F.); #24206=ORIENTED_EDGE('',*,*,#16888,.T.); #24207=ORIENTED_EDGE('',*,*,#16889,.F.); #24208=ORIENTED_EDGE('',*,*,#16879,.T.); #24209=ORIENTED_EDGE('',*,*,#16876,.F.); #24210=ORIENTED_EDGE('',*,*,#16873,.F.); #24211=ORIENTED_EDGE('',*,*,#16870,.T.); #24212=ORIENTED_EDGE('',*,*,#16882,.T.); #24213=ORIENTED_EDGE('',*,*,#16880,.T.); #24214=ORIENTED_EDGE('',*,*,#16889,.T.); #24215=ORIENTED_EDGE('',*,*,#16890,.F.); #24216=ORIENTED_EDGE('',*,*,#16891,.F.); #24217=ORIENTED_EDGE('',*,*,#16892,.F.); #24218=ORIENTED_EDGE('',*,*,#16887,.T.); #24219=ORIENTED_EDGE('',*,*,#16883,.F.); #24220=ORIENTED_EDGE('',*,*,#16872,.T.); #24221=ORIENTED_EDGE('',*,*,#16875,.T.); #24222=ORIENTED_EDGE('',*,*,#16878,.T.); #24223=ORIENTED_EDGE('',*,*,#16881,.F.); #24224=ORIENTED_EDGE('',*,*,#16891,.T.); #24225=ORIENTED_EDGE('',*,*,#16893,.T.); #24226=ORIENTED_EDGE('',*,*,#16894,.F.); #24227=ORIENTED_EDGE('',*,*,#16836,.F.); #24228=ORIENTED_EDGE('',*,*,#16895,.F.); #24229=ORIENTED_EDGE('',*,*,#16896,.F.); #24230=ORIENTED_EDGE('',*,*,#16897,.T.); #24231=ORIENTED_EDGE('',*,*,#16898,.T.); #24232=ORIENTED_EDGE('',*,*,#16899,.F.); #24233=ORIENTED_EDGE('',*,*,#16899,.T.); #24234=ORIENTED_EDGE('',*,*,#16900,.T.); #24235=ORIENTED_EDGE('',*,*,#16901,.F.); #24236=ORIENTED_EDGE('',*,*,#16902,.F.); #24237=ORIENTED_EDGE('',*,*,#16903,.F.); #24238=ORIENTED_EDGE('',*,*,#16904,.F.); #24239=ORIENTED_EDGE('',*,*,#16905,.T.); #24240=ORIENTED_EDGE('',*,*,#16901,.T.); #24241=ORIENTED_EDGE('',*,*,#16906,.F.); #24242=ORIENTED_EDGE('',*,*,#16893,.F.); #24243=ORIENTED_EDGE('',*,*,#16907,.T.); #24244=ORIENTED_EDGE('',*,*,#16908,.T.); #24245=ORIENTED_EDGE('',*,*,#16909,.T.); #24246=ORIENTED_EDGE('',*,*,#16910,.T.); #24247=ORIENTED_EDGE('',*,*,#16908,.F.); #24248=ORIENTED_EDGE('',*,*,#16911,.F.); #24249=ORIENTED_EDGE('',*,*,#16912,.F.); #24250=ORIENTED_EDGE('',*,*,#16913,.F.); #24251=ORIENTED_EDGE('',*,*,#16833,.F.); #24252=ORIENTED_EDGE('',*,*,#16894,.T.); #24253=ORIENTED_EDGE('',*,*,#16906,.T.); #24254=ORIENTED_EDGE('',*,*,#16910,.F.); #24255=ORIENTED_EDGE('',*,*,#16914,.T.); #24256=ORIENTED_EDGE('',*,*,#16915,.F.); #24257=ORIENTED_EDGE('',*,*,#16903,.T.); #24258=ORIENTED_EDGE('',*,*,#16900,.F.); #24259=ORIENTED_EDGE('',*,*,#16898,.F.); #24260=ORIENTED_EDGE('',*,*,#16916,.F.); #24261=ORIENTED_EDGE('',*,*,#16917,.F.); #24262=ORIENTED_EDGE('',*,*,#16918,.F.); #24263=ORIENTED_EDGE('',*,*,#16919,.F.); #24264=ORIENTED_EDGE('',*,*,#16920,.F.); #24265=ORIENTED_EDGE('',*,*,#16843,.F.); #24266=ORIENTED_EDGE('',*,*,#16921,.F.); #24267=ORIENTED_EDGE('',*,*,#16922,.F.); #24268=ORIENTED_EDGE('',*,*,#16923,.F.); #24269=ORIENTED_EDGE('',*,*,#16924,.F.); #24270=ORIENTED_EDGE('',*,*,#16925,.F.); #24271=ORIENTED_EDGE('',*,*,#16839,.F.); #24272=ORIENTED_EDGE('',*,*,#16926,.F.); #24273=ORIENTED_EDGE('',*,*,#16927,.F.); #24274=ORIENTED_EDGE('',*,*,#16928,.F.); #24275=ORIENTED_EDGE('',*,*,#16904,.T.); #24276=ORIENTED_EDGE('',*,*,#16915,.T.); #24277=ORIENTED_EDGE('',*,*,#16929,.F.); #24278=ORIENTED_EDGE('',*,*,#16930,.F.); #24279=ORIENTED_EDGE('',*,*,#16931,.T.); #24280=ORIENTED_EDGE('',*,*,#16932,.T.); #24281=ORIENTED_EDGE('',*,*,#16933,.T.); #24282=ORIENTED_EDGE('',*,*,#16934,.T.); #24283=ORIENTED_EDGE('',*,*,#16935,.T.); #24284=ORIENTED_EDGE('',*,*,#16837,.T.); #24285=ORIENTED_EDGE('',*,*,#16936,.T.); #24286=ORIENTED_EDGE('',*,*,#16937,.T.); #24287=ORIENTED_EDGE('',*,*,#16938,.T.); #24288=ORIENTED_EDGE('',*,*,#16939,.T.); #24289=ORIENTED_EDGE('',*,*,#16940,.T.); #24290=ORIENTED_EDGE('',*,*,#16841,.T.); #24291=ORIENTED_EDGE('',*,*,#16941,.T.); #24292=ORIENTED_EDGE('',*,*,#16942,.T.); #24293=ORIENTED_EDGE('',*,*,#16943,.T.); #24294=ORIENTED_EDGE('',*,*,#16944,.T.); #24295=ORIENTED_EDGE('',*,*,#16945,.T.); #24296=ORIENTED_EDGE('',*,*,#16896,.T.); #24297=ORIENTED_EDGE('',*,*,#16902,.T.); #24298=ORIENTED_EDGE('',*,*,#16905,.F.); #24299=ORIENTED_EDGE('',*,*,#16930,.T.); #24300=ORIENTED_EDGE('',*,*,#16946,.F.); #24301=ORIENTED_EDGE('',*,*,#16911,.T.); #24302=ORIENTED_EDGE('',*,*,#16907,.F.); #24303=ORIENTED_EDGE('',*,*,#16895,.T.); #24304=ORIENTED_EDGE('',*,*,#16835,.T.); #24305=ORIENTED_EDGE('',*,*,#16947,.T.); #24306=ORIENTED_EDGE('',*,*,#16948,.F.); #24307=ORIENTED_EDGE('',*,*,#16949,.F.); #24308=ORIENTED_EDGE('',*,*,#16950,.F.); #24309=ORIENTED_EDGE('',*,*,#16949,.T.); #24310=ORIENTED_EDGE('',*,*,#16951,.T.); #24311=ORIENTED_EDGE('',*,*,#16952,.F.); #24312=ORIENTED_EDGE('',*,*,#16953,.F.); #24313=ORIENTED_EDGE('',*,*,#16952,.T.); #24314=ORIENTED_EDGE('',*,*,#16954,.T.); #24315=ORIENTED_EDGE('',*,*,#16955,.F.); #24316=ORIENTED_EDGE('',*,*,#16956,.F.); #24317=ORIENTED_EDGE('',*,*,#16957,.F.); #24318=ORIENTED_EDGE('',*,*,#16958,.F.); #24319=ORIENTED_EDGE('',*,*,#16959,.T.); #24320=ORIENTED_EDGE('',*,*,#16955,.T.); #24321=ORIENTED_EDGE('',*,*,#16960,.F.); #24322=ORIENTED_EDGE('',*,*,#16947,.F.); #24323=ORIENTED_EDGE('',*,*,#16961,.T.); #24324=ORIENTED_EDGE('',*,*,#16962,.T.); #24325=ORIENTED_EDGE('',*,*,#16963,.T.); #24326=ORIENTED_EDGE('',*,*,#16964,.T.); #24327=ORIENTED_EDGE('',*,*,#16962,.F.); #24328=ORIENTED_EDGE('',*,*,#16965,.F.); #24329=ORIENTED_EDGE('',*,*,#16964,.F.); #24330=ORIENTED_EDGE('',*,*,#16966,.T.); #24331=ORIENTED_EDGE('',*,*,#16967,.F.); #24332=ORIENTED_EDGE('',*,*,#16957,.T.); #24333=ORIENTED_EDGE('',*,*,#16954,.F.); #24334=ORIENTED_EDGE('',*,*,#16951,.F.); #24335=ORIENTED_EDGE('',*,*,#16948,.T.); #24336=ORIENTED_EDGE('',*,*,#16960,.T.); #24337=ORIENTED_EDGE('',*,*,#16958,.T.); #24338=ORIENTED_EDGE('',*,*,#16967,.T.); #24339=ORIENTED_EDGE('',*,*,#16968,.F.); #24340=ORIENTED_EDGE('',*,*,#16969,.F.); #24341=ORIENTED_EDGE('',*,*,#16970,.F.); #24342=ORIENTED_EDGE('',*,*,#16965,.T.); #24343=ORIENTED_EDGE('',*,*,#16961,.F.); #24344=ORIENTED_EDGE('',*,*,#16950,.T.); #24345=ORIENTED_EDGE('',*,*,#16953,.T.); #24346=ORIENTED_EDGE('',*,*,#16956,.T.); #24347=ORIENTED_EDGE('',*,*,#16959,.F.); #24348=ORIENTED_EDGE('',*,*,#16969,.T.); #24349=ORIENTED_EDGE('',*,*,#16971,.T.); #24350=ORIENTED_EDGE('',*,*,#16781,.F.); #24351=ORIENTED_EDGE('',*,*,#16972,.F.); #24352=ORIENTED_EDGE('',*,*,#16973,.T.); #24353=ORIENTED_EDGE('',*,*,#16974,.T.); #24354=ORIENTED_EDGE('',*,*,#16779,.F.); #24355=ORIENTED_EDGE('',*,*,#16975,.F.); #24356=ORIENTED_EDGE('',*,*,#16976,.T.); #24357=ORIENTED_EDGE('',*,*,#16975,.T.); #24358=ORIENTED_EDGE('',*,*,#16790,.F.); #24359=ORIENTED_EDGE('',*,*,#16977,.F.); #24360=ORIENTED_EDGE('',*,*,#16978,.T.); #24361=ORIENTED_EDGE('',*,*,#16979,.T.); #24362=ORIENTED_EDGE('',*,*,#16788,.F.); #24363=ORIENTED_EDGE('',*,*,#16980,.F.); #24364=ORIENTED_EDGE('',*,*,#16981,.T.); #24365=ORIENTED_EDGE('',*,*,#16980,.T.); #24366=ORIENTED_EDGE('',*,*,#16787,.F.); #24367=ORIENTED_EDGE('',*,*,#16982,.F.); #24368=ORIENTED_EDGE('',*,*,#16983,.T.); #24369=ORIENTED_EDGE('',*,*,#16984,.T.); #24370=ORIENTED_EDGE('',*,*,#16785,.F.); #24371=ORIENTED_EDGE('',*,*,#16985,.F.); #24372=ORIENTED_EDGE('',*,*,#16986,.T.); #24373=ORIENTED_EDGE('',*,*,#16985,.T.); #24374=ORIENTED_EDGE('',*,*,#16784,.F.); #24375=ORIENTED_EDGE('',*,*,#16987,.F.); #24376=ORIENTED_EDGE('',*,*,#16988,.T.); #24377=ORIENTED_EDGE('',*,*,#16989,.T.); #24378=ORIENTED_EDGE('',*,*,#16782,.F.); #24379=ORIENTED_EDGE('',*,*,#16971,.F.); #24380=ORIENTED_EDGE('',*,*,#16990,.T.); #24381=ORIENTED_EDGE('',*,*,#16991,.F.); #24382=ORIENTED_EDGE('',*,*,#16976,.F.); #24383=ORIENTED_EDGE('',*,*,#16978,.F.); #24384=ORIENTED_EDGE('',*,*,#16992,.F.); #24385=ORIENTED_EDGE('',*,*,#16981,.F.); #24386=ORIENTED_EDGE('',*,*,#16983,.F.); #24387=ORIENTED_EDGE('',*,*,#16993,.F.); #24388=ORIENTED_EDGE('',*,*,#16986,.F.); #24389=ORIENTED_EDGE('',*,*,#16988,.F.); #24390=ORIENTED_EDGE('',*,*,#16994,.F.); #24391=ORIENTED_EDGE('',*,*,#16990,.F.); #24392=ORIENTED_EDGE('',*,*,#16973,.F.); #24393=ORIENTED_EDGE('',*,*,#16805,.T.); #24394=ORIENTED_EDGE('',*,*,#16807,.T.); #24395=ORIENTED_EDGE('',*,*,#16809,.T.); #24396=ORIENTED_EDGE('',*,*,#16811,.T.); #24397=ORIENTED_EDGE('',*,*,#16813,.T.); #24398=ORIENTED_EDGE('',*,*,#16815,.T.); #24399=ORIENTED_EDGE('',*,*,#16817,.T.); #24400=ORIENTED_EDGE('',*,*,#16819,.T.); #24401=ORIENTED_EDGE('',*,*,#16821,.T.); #24402=ORIENTED_EDGE('',*,*,#16823,.T.); #24403=ORIENTED_EDGE('',*,*,#16825,.T.); #24404=ORIENTED_EDGE('',*,*,#16827,.T.); #24405=ORIENTED_EDGE('',*,*,#16829,.T.); #24406=ORIENTED_EDGE('',*,*,#16831,.T.); #24407=ORIENTED_EDGE('',*,*,#16963,.F.); #24408=ORIENTED_EDGE('',*,*,#16995,.T.); #24409=ORIENTED_EDGE('',*,*,#16987,.T.); #24410=ORIENTED_EDGE('',*,*,#16996,.F.); #24411=ORIENTED_EDGE('',*,*,#16997,.T.); #24412=ORIENTED_EDGE('',*,*,#16968,.T.); #24413=ORIENTED_EDGE('',*,*,#16998,.F.); #24414=ORIENTED_EDGE('',*,*,#16989,.F.); #24415=ORIENTED_EDGE('',*,*,#16783,.F.); #24416=ORIENTED_EDGE('',*,*,#16998,.T.); #24417=ORIENTED_EDGE('',*,*,#16966,.F.); #24418=ORIENTED_EDGE('',*,*,#16996,.T.); #24419=ORIENTED_EDGE('',*,*,#16994,.T.); #24420=ORIENTED_EDGE('',*,*,#16995,.F.); #24421=ORIENTED_EDGE('',*,*,#16970,.T.); #24422=ORIENTED_EDGE('',*,*,#16997,.F.); #24423=ORIENTED_EDGE('',*,*,#16909,.F.); #24424=ORIENTED_EDGE('',*,*,#16999,.T.); #24425=ORIENTED_EDGE('',*,*,#16982,.T.); #24426=ORIENTED_EDGE('',*,*,#17000,.F.); #24427=ORIENTED_EDGE('',*,*,#17001,.T.); #24428=ORIENTED_EDGE('',*,*,#16929,.T.); #24429=ORIENTED_EDGE('',*,*,#17002,.F.); #24430=ORIENTED_EDGE('',*,*,#16984,.F.); #24431=ORIENTED_EDGE('',*,*,#16786,.F.); #24432=ORIENTED_EDGE('',*,*,#17002,.T.); #24433=ORIENTED_EDGE('',*,*,#16914,.F.); #24434=ORIENTED_EDGE('',*,*,#17000,.T.); #24435=ORIENTED_EDGE('',*,*,#16993,.T.); #24436=ORIENTED_EDGE('',*,*,#16999,.F.); #24437=ORIENTED_EDGE('',*,*,#16946,.T.); #24438=ORIENTED_EDGE('',*,*,#17001,.F.); #24439=ORIENTED_EDGE('',*,*,#16885,.F.); #24440=ORIENTED_EDGE('',*,*,#17003,.T.); #24441=ORIENTED_EDGE('',*,*,#16977,.T.); #24442=ORIENTED_EDGE('',*,*,#17004,.F.); #24443=ORIENTED_EDGE('',*,*,#17005,.T.); #24444=ORIENTED_EDGE('',*,*,#16890,.T.); #24445=ORIENTED_EDGE('',*,*,#17006,.F.); #24446=ORIENTED_EDGE('',*,*,#16979,.F.); #24447=ORIENTED_EDGE('',*,*,#16789,.F.); #24448=ORIENTED_EDGE('',*,*,#17006,.T.); #24449=ORIENTED_EDGE('',*,*,#16888,.F.); #24450=ORIENTED_EDGE('',*,*,#17004,.T.); #24451=ORIENTED_EDGE('',*,*,#16992,.T.); #24452=ORIENTED_EDGE('',*,*,#17003,.F.); #24453=ORIENTED_EDGE('',*,*,#16892,.T.); #24454=ORIENTED_EDGE('',*,*,#17005,.F.); #24455=ORIENTED_EDGE('',*,*,#16861,.F.); #24456=ORIENTED_EDGE('',*,*,#17007,.T.); #24457=ORIENTED_EDGE('',*,*,#16972,.T.); #24458=ORIENTED_EDGE('',*,*,#17008,.F.); #24459=ORIENTED_EDGE('',*,*,#17009,.T.); #24460=ORIENTED_EDGE('',*,*,#16866,.T.); #24461=ORIENTED_EDGE('',*,*,#17010,.F.); #24462=ORIENTED_EDGE('',*,*,#16974,.F.); #24463=ORIENTED_EDGE('',*,*,#16780,.F.); #24464=ORIENTED_EDGE('',*,*,#17010,.T.); #24465=ORIENTED_EDGE('',*,*,#16864,.F.); #24466=ORIENTED_EDGE('',*,*,#17008,.T.); #24467=ORIENTED_EDGE('',*,*,#16991,.T.); #24468=ORIENTED_EDGE('',*,*,#17007,.F.); #24469=ORIENTED_EDGE('',*,*,#16868,.T.); #24470=ORIENTED_EDGE('',*,*,#17009,.F.); #24471=ORIENTED_EDGE('',*,*,#16844,.T.); #24472=ORIENTED_EDGE('',*,*,#16920,.T.); #24473=ORIENTED_EDGE('',*,*,#17011,.F.); #24474=ORIENTED_EDGE('',*,*,#16941,.F.); #24475=ORIENTED_EDGE('',*,*,#17011,.T.); #24476=ORIENTED_EDGE('',*,*,#16919,.T.); #24477=ORIENTED_EDGE('',*,*,#17012,.F.); #24478=ORIENTED_EDGE('',*,*,#16942,.F.); #24479=ORIENTED_EDGE('',*,*,#17012,.T.); #24480=ORIENTED_EDGE('',*,*,#16918,.T.); #24481=ORIENTED_EDGE('',*,*,#17013,.F.); #24482=ORIENTED_EDGE('',*,*,#16943,.F.); #24483=ORIENTED_EDGE('',*,*,#17013,.T.); #24484=ORIENTED_EDGE('',*,*,#16917,.T.); #24485=ORIENTED_EDGE('',*,*,#17014,.F.); #24486=ORIENTED_EDGE('',*,*,#16944,.F.); #24487=ORIENTED_EDGE('',*,*,#17014,.T.); #24488=ORIENTED_EDGE('',*,*,#16916,.T.); #24489=ORIENTED_EDGE('',*,*,#16897,.F.); #24490=ORIENTED_EDGE('',*,*,#16945,.F.); #24491=ORIENTED_EDGE('',*,*,#16840,.T.); #24492=ORIENTED_EDGE('',*,*,#16925,.T.); #24493=ORIENTED_EDGE('',*,*,#17015,.F.); #24494=ORIENTED_EDGE('',*,*,#16936,.F.); #24495=ORIENTED_EDGE('',*,*,#17015,.T.); #24496=ORIENTED_EDGE('',*,*,#16924,.T.); #24497=ORIENTED_EDGE('',*,*,#17016,.F.); #24498=ORIENTED_EDGE('',*,*,#16937,.F.); #24499=ORIENTED_EDGE('',*,*,#17016,.T.); #24500=ORIENTED_EDGE('',*,*,#16923,.T.); #24501=ORIENTED_EDGE('',*,*,#17017,.F.); #24502=ORIENTED_EDGE('',*,*,#16938,.F.); #24503=ORIENTED_EDGE('',*,*,#17017,.T.); #24504=ORIENTED_EDGE('',*,*,#16922,.T.); #24505=ORIENTED_EDGE('',*,*,#17018,.F.); #24506=ORIENTED_EDGE('',*,*,#16939,.F.); #24507=ORIENTED_EDGE('',*,*,#17018,.T.); #24508=ORIENTED_EDGE('',*,*,#16921,.T.); #24509=ORIENTED_EDGE('',*,*,#16842,.F.); #24510=ORIENTED_EDGE('',*,*,#16940,.F.); #24511=ORIENTED_EDGE('',*,*,#16834,.T.); #24512=ORIENTED_EDGE('',*,*,#16913,.T.); #24513=ORIENTED_EDGE('',*,*,#17019,.F.); #24514=ORIENTED_EDGE('',*,*,#16931,.F.); #24515=ORIENTED_EDGE('',*,*,#17019,.T.); #24516=ORIENTED_EDGE('',*,*,#16912,.T.); #24517=ORIENTED_EDGE('',*,*,#17020,.F.); #24518=ORIENTED_EDGE('',*,*,#16932,.F.); #24519=ORIENTED_EDGE('',*,*,#17020,.T.); #24520=ORIENTED_EDGE('',*,*,#16928,.T.); #24521=ORIENTED_EDGE('',*,*,#17021,.F.); #24522=ORIENTED_EDGE('',*,*,#16933,.F.); #24523=ORIENTED_EDGE('',*,*,#17021,.T.); #24524=ORIENTED_EDGE('',*,*,#16927,.T.); #24525=ORIENTED_EDGE('',*,*,#17022,.F.); #24526=ORIENTED_EDGE('',*,*,#16934,.F.); #24527=ORIENTED_EDGE('',*,*,#17022,.T.); #24528=ORIENTED_EDGE('',*,*,#16926,.T.); #24529=ORIENTED_EDGE('',*,*,#16838,.F.); #24530=ORIENTED_EDGE('',*,*,#16935,.F.); #24531=CYLINDRICAL_SURFACE('',#26202,0.25); #24532=CYLINDRICAL_SURFACE('',#26418,0.25); #24533=CYLINDRICAL_SURFACE('',#26420,0.25); #24534=CYLINDRICAL_SURFACE('',#26422,0.25); #24535=CYLINDRICAL_SURFACE('',#26424,0.25); #24536=CYLINDRICAL_SURFACE('',#26426,0.25); #24537=CYLINDRICAL_SURFACE('',#26428,0.25); #24538=CYLINDRICAL_SURFACE('',#26430,0.25); #24539=CYLINDRICAL_SURFACE('',#26432,0.25); #24540=CYLINDRICAL_SURFACE('',#26434,0.25); #24541=CYLINDRICAL_SURFACE('',#26641,0.25); #24542=CYLINDRICAL_SURFACE('',#26642,0.25); #24543=CYLINDRICAL_SURFACE('',#26643,0.25); #24544=CYLINDRICAL_SURFACE('',#26644,0.25); #24545=CYLINDRICAL_SURFACE('',#26645,0.25); #24546=CYLINDRICAL_SURFACE('',#26646,0.250000000000002); #24547=CYLINDRICAL_SURFACE('',#26647,0.25); #24548=CYLINDRICAL_SURFACE('',#26648,0.25); #24549=CYLINDRICAL_SURFACE('',#26649,0.25); #24550=CYLINDRICAL_SURFACE('',#26650,0.25); #24551=CYLINDRICAL_SURFACE('',#26651,0.25); #24552=CYLINDRICAL_SURFACE('',#26652,0.250000000000002); #24553=CYLINDRICAL_SURFACE('',#26653,0.25); #24554=CYLINDRICAL_SURFACE('',#26654,0.25); #24555=CYLINDRICAL_SURFACE('',#26655,0.25); #24556=CYLINDRICAL_SURFACE('',#26656,0.25); #24557=CYLINDRICAL_SURFACE('',#26657,0.25); #24558=CYLINDRICAL_SURFACE('',#26658,0.25); #24559=CYLINDRICAL_SURFACE('',#26659,0.25); #24560=CYLINDRICAL_SURFACE('',#26660,0.25); #24561=CYLINDRICAL_SURFACE('',#26661,0.25); #24562=CYLINDRICAL_SURFACE('',#26662,0.249999999999999); #24563=CYLINDRICAL_SURFACE('',#26663,0.25); #24564=CYLINDRICAL_SURFACE('',#26664,0.25); #24565=CYLINDRICAL_SURFACE('',#26665,0.25); #24566=CYLINDRICAL_SURFACE('',#26666,0.249999999999999); #24567=CYLINDRICAL_SURFACE('',#26667,0.25); #24568=CYLINDRICAL_SURFACE('',#26668,0.25); #24569=CYLINDRICAL_SURFACE('',#26669,0.25); #24570=CYLINDRICAL_SURFACE('',#26670,0.25); #24571=CYLINDRICAL_SURFACE('',#26671,0.25); #24572=CYLINDRICAL_SURFACE('',#26672,0.25); #24573=CYLINDRICAL_SURFACE('',#26684,0.25); #24574=CYLINDRICAL_SURFACE('',#26694,0.25); #24575=CYLINDRICAL_SURFACE('',#26704,0.25); #24576=CYLINDRICAL_SURFACE('',#26714,0.25); #24577=CYLINDRICAL_SURFACE('',#26724,0.25); #24578=CYLINDRICAL_SURFACE('',#26734,0.25); #24579=CYLINDRICAL_SURFACE('',#26744,0.25); #24580=CYLINDRICAL_SURFACE('',#26754,0.25); #24581=CYLINDRICAL_SURFACE('',#26766,0.200823452519847); #24582=CYLINDRICAL_SURFACE('',#26770,476.6040111576); #24583=CYLINDRICAL_SURFACE('',#26773,0.200687116662636); #24584=CYLINDRICAL_SURFACE('',#26777,0.200645226502145); #24585=CYLINDRICAL_SURFACE('',#26780,477.393790971509); #24586=CYLINDRICAL_SURFACE('',#26783,0.205975987873385); #24587=CYLINDRICAL_SURFACE('',#26786,0.205990056208648); #24588=CYLINDRICAL_SURFACE('',#26790,0.250687116662636); #24589=CYLINDRICAL_SURFACE('',#26793,476.6540111576); #24590=CYLINDRICAL_SURFACE('',#26797,0.250823452519848); #24591=CYLINDRICAL_SURFACE('',#26802,0.255990056208649); #24592=CYLINDRICAL_SURFACE('',#26805,0.255975987873385); #24593=CYLINDRICAL_SURFACE('',#26808,477.443790971509); #24594=CYLINDRICAL_SURFACE('',#26811,0.250645226502143); #24595=CYLINDRICAL_SURFACE('',#26816,1.22388407298749); #24596=CYLINDRICAL_SURFACE('',#26827,0.235551669444117); #24597=CYLINDRICAL_SURFACE('',#26832,0.161215512427949); #24598=CYLINDRICAL_SURFACE('',#26835,0.105885647885933); #24599=CYLINDRICAL_SURFACE('',#26839,0.10328688557093); #24600=CYLINDRICAL_SURFACE('',#26851,0.262932401255245); #24601=CYLINDRICAL_SURFACE('',#26867,0.241958708249277); #24602=CYLINDRICAL_SURFACE('',#26870,0.450801077196276); #24603=CYLINDRICAL_SURFACE('',#26874,0.244478941988022); #24604=CYLINDRICAL_SURFACE('',#26877,0.244490250579948); #24605=CYLINDRICAL_SURFACE('',#26880,7.55922919644548); #24606=CYLINDRICAL_SURFACE('',#26888,0.211853224885804); #24607=CYLINDRICAL_SURFACE('',#26892,1.16111908541571); #24608=CYLINDRICAL_SURFACE('',#26895,0.434029075685802); #24609=CYLINDRICAL_SURFACE('',#26898,2.22916784007601); #24610=CYLINDRICAL_SURFACE('',#26902,0.10614620549894); #24611=CYLINDRICAL_SURFACE('',#26907,0.262182557462929); #24612=CYLINDRICAL_SURFACE('',#26917,0.108401633425211); #24613=CYLINDRICAL_SURFACE('',#26920,0.108139383837339); #24614=CYLINDRICAL_SURFACE('',#26925,6.16942037230856); #24615=CYLINDRICAL_SURFACE('',#26928,0.175356689810481); #24616=CYLINDRICAL_SURFACE('',#26932,0.0979064126025476); #24617=CYLINDRICAL_SURFACE('',#26935,0.0985044292531968); #24618=CYLINDRICAL_SURFACE('',#26940,0.536370080867928); #24619=CYLINDRICAL_SURFACE('',#26943,0.708943908285752); #24620=CYLINDRICAL_SURFACE('',#26949,0.368602761275507); #24621=CYLINDRICAL_SURFACE('',#26957,2.45419086989191); #24622=CYLINDRICAL_SURFACE('',#26972,0.201271049073407); #24623=CYLINDRICAL_SURFACE('',#26975,99.2413123975639); #24624=CYLINDRICAL_SURFACE('',#26982,0.512879031315534); #24625=CYLINDRICAL_SURFACE('',#26987,0.9869953477311); #24626=CYLINDRICAL_SURFACE('',#26992,0.761307805659155); #24627=CYLINDRICAL_SURFACE('',#26995,0.808673731342306); #24628=CYLINDRICAL_SURFACE('',#27000,0.146694332664815); #24629=CYLINDRICAL_SURFACE('',#27010,0.108998179934282); #24630=CYLINDRICAL_SURFACE('',#27016,0.502965558301602); #24631=CYLINDRICAL_SURFACE('',#27023,0.101246339671838); #24632=CYLINDRICAL_SURFACE('',#27030,0.386126257231987); #24633=CYLINDRICAL_SURFACE('',#27036,0.235379580366797); #24634=CYLINDRICAL_SURFACE('',#27041,0.138982893667438); #24635=CYLINDRICAL_SURFACE('',#27047,0.420193977593654); #24636=CYLINDRICAL_SURFACE('',#27050,0.420221849806797); #24637=CYLINDRICAL_SURFACE('',#27054,47.5880241380734); #24638=CYLINDRICAL_SURFACE('',#27057,0.217501344566678); #24639=CYLINDRICAL_SURFACE('',#27060,0.217574804078641); #24640=CYLINDRICAL_SURFACE('',#27063,0.204800323129082); #24641=CYLINDRICAL_SURFACE('',#27066,2.93110054983534); #24642=CYLINDRICAL_SURFACE('',#27069,0.264270961775295); #24643=CYLINDRICAL_SURFACE('',#27072,0.264286283180424); #24644=CYLINDRICAL_SURFACE('',#27076,4.0407625341236); #24645=CYLINDRICAL_SURFACE('',#27082,0.270711222179827); #24646=CYLINDRICAL_SURFACE('',#27090,0.111048302324259); #24647=CYLINDRICAL_SURFACE('',#27093,0.453762899356555); #24648=CYLINDRICAL_SURFACE('',#27096,3.14925305994308); #24649=CYLINDRICAL_SURFACE('',#27099,0.454305734087614); #24650=CYLINDRICAL_SURFACE('',#27102,0.454269049450738); #24651=CYLINDRICAL_SURFACE('',#27105,0.411335219978431); #24652=CYLINDRICAL_SURFACE('',#27108,0.411238451885687); #24653=CYLINDRICAL_SURFACE('',#27112,11.5450739298965); #24654=CYLINDRICAL_SURFACE('',#27115,0.221713685762893); #24655=CYLINDRICAL_SURFACE('',#27118,0.221811750377288); #24656=CYLINDRICAL_SURFACE('',#27121,0.207858752049829); #24657=CYLINDRICAL_SURFACE('',#27124,3.34255132753398); #24658=CYLINDRICAL_SURFACE('',#27127,0.255338978922101); #24659=CYLINDRICAL_SURFACE('',#27130,0.255276745231071); #24660=CYLINDRICAL_SURFACE('',#27134,0.161430796823501); #24661=CYLINDRICAL_SURFACE('',#27141,0.267351499024259); #24662=CYLINDRICAL_SURFACE('',#27148,0.122645447098662); #24663=CYLINDRICAL_SURFACE('',#27151,0.489518720869274); #24664=CYLINDRICAL_SURFACE('',#27154,0.489514261160241); #24665=CYLINDRICAL_SURFACE('',#27158,6.92577541389333); #24666=CYLINDRICAL_SURFACE('',#27161,0.455074280667154); #24667=CYLINDRICAL_SURFACE('',#27164,0.455090994767036); #24668=CYLINDRICAL_SURFACE('',#27170,0.256534041474127); #24669=CYLINDRICAL_SURFACE('',#27180,26.7689659313644); #24670=CYLINDRICAL_SURFACE('',#27188,0.251549152465648); #24671=CYLINDRICAL_SURFACE('',#27195,0.129379565173044); #24672=CYLINDRICAL_SURFACE('',#27208,6.15549799602536); #24673=CYLINDRICAL_SURFACE('',#27220,8.435096269101); #24674=CYLINDRICAL_SURFACE('',#27227,0.229835980788822); #24675=CYLINDRICAL_SURFACE('',#27247,0.251027668134232); #24676=CYLINDRICAL_SURFACE('',#27256,57.1301777957877); #24677=CYLINDRICAL_SURFACE('',#27275,6.11905185486767); #24678=CYLINDRICAL_SURFACE('',#27284,12.2181712214909); #24679=CYLINDRICAL_SURFACE('',#27287,17.1110263897744); #24680=CYLINDRICAL_SURFACE('',#27295,124.389616343404); #24681=CYLINDRICAL_SURFACE('',#27300,187.448123553415); #24682=CYLINDRICAL_SURFACE('',#27305,0.615717473989527); #24683=CYLINDRICAL_SURFACE('',#27308,94.2563523888946); #24684=CYLINDRICAL_SURFACE('',#27313,165.230835070661); #24685=CYLINDRICAL_SURFACE('',#27316,39.7342922794324); #24686=CYLINDRICAL_SURFACE('',#27323,0.323108280799681); #24687=CYLINDRICAL_SURFACE('',#27326,69.9148593284141); #24688=CYLINDRICAL_SURFACE('',#27331,9.82798410723196); #24689=CYLINDRICAL_SURFACE('',#27335,9.05573558340719); #24690=CYLINDRICAL_SURFACE('',#27338,24.2900221901028); #24691=CYLINDRICAL_SURFACE('',#27343,0.723727038973038); #24692=CYLINDRICAL_SURFACE('',#27346,15.0559214203856); #24693=CYLINDRICAL_SURFACE('',#27349,24.6558614612535); #24694=CYLINDRICAL_SURFACE('',#27353,380.38277337543); #24695=CYLINDRICAL_SURFACE('',#27359,89.1740293556282); #24696=CYLINDRICAL_SURFACE('',#27365,0.408606990634027); #24697=CYLINDRICAL_SURFACE('',#27369,0.202995229662345); #24698=CYLINDRICAL_SURFACE('',#27372,3.99376467543063); #24699=CYLINDRICAL_SURFACE('',#27375,0.201373720588783); #24700=CYLINDRICAL_SURFACE('',#27378,0.762093138721679); #24701=CYLINDRICAL_SURFACE('',#27382,0.303456802098295); #24702=CYLINDRICAL_SURFACE('',#27385,2.42876931082614); #24703=CYLINDRICAL_SURFACE('',#27388,0.213777600377775); #24704=CYLINDRICAL_SURFACE('',#27391,4.22807650848817); #24705=CYLINDRICAL_SURFACE('',#27394,0.461830613392075); #24706=CYLINDRICAL_SURFACE('',#27397,3.88451765202881); #24707=CYLINDRICAL_SURFACE('',#27400,0.46435883170015); #24708=CYLINDRICAL_SURFACE('',#27403,0.46432966511252); #24709=CYLINDRICAL_SURFACE('',#27408,0.476211731233281); #24710=CYLINDRICAL_SURFACE('',#27411,0.476193816473938); #24711=CYLINDRICAL_SURFACE('',#27414,3.41372798574699); #24712=CYLINDRICAL_SURFACE('',#27417,0.454103214257103); #24713=CYLINDRICAL_SURFACE('',#27420,2.10966125563456); #24714=CYLINDRICAL_SURFACE('',#27425,0.510163544116022); #24715=CYLINDRICAL_SURFACE('',#27428,1.33187145426453); #24716=CYLINDRICAL_SURFACE('',#27432,0.238891413771648); #24717=CYLINDRICAL_SURFACE('',#27435,4.0366981944696); #24718=CYLINDRICAL_SURFACE('',#27439,0.295129532533078); #24719=CYLINDRICAL_SURFACE('',#27445,0.106109549188688); #24720=CYLINDRICAL_SURFACE('',#27452,0.241954573730854); #24721=CYLINDRICAL_SURFACE('',#27456,8.65560171184424); #24722=CYLINDRICAL_SURFACE('',#27459,1.89839481708246); #24723=CYLINDRICAL_SURFACE('',#27467,0.108045040194683); #24724=CYLINDRICAL_SURFACE('',#27470,0.108557897468153); #24725=CYLINDRICAL_SURFACE('',#27473,3.87588755502245); #24726=CYLINDRICAL_SURFACE('',#27477,0.148015778526987); #24727=CYLINDRICAL_SURFACE('',#27480,0.148198631603146); #24728=CYLINDRICAL_SURFACE('',#27483,1.16881318680343); #24729=CYLINDRICAL_SURFACE('',#27487,0.102015200737297); #24730=CYLINDRICAL_SURFACE('',#27497,0.150293195077759); #24731=CYLINDRICAL_SURFACE('',#27503,0.520343663222806); #24732=CYLINDRICAL_SURFACE('',#27516,0.476836816515297); #24733=CYLINDRICAL_SURFACE('',#27523,0.23504123309135); #24734=CYLINDRICAL_SURFACE('',#27529,270.229286540214); #24735=CYLINDRICAL_SURFACE('',#27536,0.264451606019413); #24736=CYLINDRICAL_SURFACE('',#27540,0.208164567023987); #24737=CYLINDRICAL_SURFACE('',#27543,0.208133016300912); #24738=CYLINDRICAL_SURFACE('',#27546,1.8281641268208); #24739=CYLINDRICAL_SURFACE('',#27549,0.292239632920795); #24740=CYLINDRICAL_SURFACE('',#27552,4.54676734950239); #24741=CYLINDRICAL_SURFACE('',#27566,0.227081782674138); #24742=CYLINDRICAL_SURFACE('',#27570,0.345251429989514); #24743=CYLINDRICAL_SURFACE('',#27573,31.0836617553768); #24744=CYLINDRICAL_SURFACE('',#27577,0.216741158869119); #24745=CYLINDRICAL_SURFACE('',#27580,0.216715319919295); #24746=CYLINDRICAL_SURFACE('',#27583,1.32231170173841); #24747=CYLINDRICAL_SURFACE('',#27594,1.34480952412838); #24748=CYLINDRICAL_SURFACE('',#27597,0.515435602514643); #24749=CYLINDRICAL_SURFACE('',#27600,1.73760822920161); #24750=CYLINDRICAL_SURFACE('',#27603,0.529816826058766); #24751=CYLINDRICAL_SURFACE('',#27606,2.38277415269586); #24752=CYLINDRICAL_SURFACE('',#27610,0.987449839745427); #24753=CYLINDRICAL_SURFACE('',#27613,0.586409270294827); #24754=CYLINDRICAL_SURFACE('',#27627,86.361966232863); #24755=CYLINDRICAL_SURFACE('',#27633,0.13210540360536); #24756=CYLINDRICAL_SURFACE('',#27647,5.548953568397); #24757=CYLINDRICAL_SURFACE('',#27654,0.137581861172615); #24758=CYLINDRICAL_SURFACE('',#27667,8.43486791636045); #24759=CYLINDRICAL_SURFACE('',#27673,0.256324122419585); #24760=CYLINDRICAL_SURFACE('',#27683,26.7464179791981); #24761=CYLINDRICAL_SURFACE('',#27691,0.251567677032482); #24762=CYLINDRICAL_SURFACE('',#27698,0.129483887393784); #24763=CYLINDRICAL_SURFACE('',#27711,6.17816988140502); #24764=CYLINDRICAL_SURFACE('',#27721,0.345171816293981); #24765=CYLINDRICAL_SURFACE('',#27730,1.80252049490803); #24766=CYLINDRICAL_SURFACE('',#27733,0.218225234536555); #24767=CYLINDRICAL_SURFACE('',#27736,2.15117766606221); #24768=CYLINDRICAL_SURFACE('',#27739,0.744096029537043); #24769=CYLINDRICAL_SURFACE('',#27742,0.295209800070557); #24770=CYLINDRICAL_SURFACE('',#27745,0.524844288219765); #24771=CYLINDRICAL_SURFACE('',#27753,0.313153118724009); #24772=CYLINDRICAL_SURFACE('',#27770,0.316019864530866); #24773=CYLINDRICAL_SURFACE('',#27784,8.45621481133372); #24774=CYLINDRICAL_SURFACE('',#27787,0.526225800049879); #24775=CYLINDRICAL_SURFACE('',#27790,0.403227372685029); #24776=CYLINDRICAL_SURFACE('',#27793,2.31798214260487); #24777=CYLINDRICAL_SURFACE('',#27796,0.763443350076913); #24778=CYLINDRICAL_SURFACE('',#27799,0.600270228404692); #24779=CYLINDRICAL_SURFACE('',#27808,3.01685463489314); #24780=CYLINDRICAL_SURFACE('',#27812,36.2565399980206); #24781=CYLINDRICAL_SURFACE('',#27821,0.224818277007369); #24782=CYLINDRICAL_SURFACE('',#27824,2.54353679080824); #24783=CYLINDRICAL_SURFACE('',#27827,0.198785725768237); #24784=CYLINDRICAL_SURFACE('',#27830,3.99114658864245); #24785=CYLINDRICAL_SURFACE('',#27833,0.239025375593919); #24786=CYLINDRICAL_SURFACE('',#27836,0.602474581171344); #24787=CYLINDRICAL_SURFACE('',#27840,0.295496714781098); #24788=CYLINDRICAL_SURFACE('',#27843,0.633433396662879); #24789=CYLINDRICAL_SURFACE('',#27846,1.87527496975165); #24790=CYLINDRICAL_SURFACE('',#27853,0.367669241873666); #24791=CYLINDRICAL_SURFACE('',#27872,0.285802114418117); #24792=CYLINDRICAL_SURFACE('',#27876,0.474504137146246); #24793=CYLINDRICAL_SURFACE('',#27879,1.96210062264968); #24794=CYLINDRICAL_SURFACE('',#27883,0.599148397756275); #24795=CYLINDRICAL_SURFACE('',#27886,8.20803812582015); #24796=CYLINDRICAL_SURFACE('',#27889,0.557233592917792); #24797=CYLINDRICAL_SURFACE('',#27892,0.772163647780959); #24798=CYLINDRICAL_SURFACE('',#27895,1.72367625894352); #24799=CYLINDRICAL_SURFACE('',#27898,0.545511127226984); #24800=CYLINDRICAL_SURFACE('',#27901,1.30922633392875); #24801=CYLINDRICAL_SURFACE('',#27904,0.329615366590383); #24802=CYLINDRICAL_SURFACE('',#27907,53.2475411329811); #24803=CYLINDRICAL_SURFACE('',#27911,0.158658652017715); #24804=CYLINDRICAL_SURFACE('',#27916,0.125978557369013); #24805=CYLINDRICAL_SURFACE('',#27921,0.219886717353664); #24806=CYLINDRICAL_SURFACE('',#27926,10.7863328522342); #24807=CYLINDRICAL_SURFACE('',#27929,0.419829112190272); #24808=CYLINDRICAL_SURFACE('',#27932,0.41987797982275); #24809=CYLINDRICAL_SURFACE('',#27935,0.398857618786281); #24810=CYLINDRICAL_SURFACE('',#27938,0.398797339867515); #24811=CYLINDRICAL_SURFACE('',#27941,2861.30223657227); #24812=CYLINDRICAL_SURFACE('',#27945,0.154489256364199); #24813=CYLINDRICAL_SURFACE('',#27948,0.154395426433273); #24814=CYLINDRICAL_SURFACE('',#27951,0.186184722917873); #24815=CYLINDRICAL_SURFACE('',#27954,0.186083616467694); #24816=CYLINDRICAL_SURFACE('',#27957,25.1267249133369); #24817=CYLINDRICAL_SURFACE('',#27967,0.115580394133745); #24818=CYLINDRICAL_SURFACE('',#27971,0.103824670167136); #24819=CYLINDRICAL_SURFACE('',#27976,0.112421775767821); #24820=CYLINDRICAL_SURFACE('',#27979,7.22194723270568); #24821=CYLINDRICAL_SURFACE('',#27982,0.391217951152276); #24822=CYLINDRICAL_SURFACE('',#27985,0.391095379380757); #24823=CYLINDRICAL_SURFACE('',#27988,0.422745701096069); #24824=CYLINDRICAL_SURFACE('',#27991,3.15716364651921); #24825=CYLINDRICAL_SURFACE('',#27995,0.148094197334344); #24826=CYLINDRICAL_SURFACE('',#27998,0.147973187265048); #24827=CYLINDRICAL_SURFACE('',#28001,0.180156375680125); #24828=CYLINDRICAL_SURFACE('',#28004,0.179956815961717); #24829=CYLINDRICAL_SURFACE('',#28007,26.3915090591448); #24830=CYLINDRICAL_SURFACE('',#28030,0.4); #24831=CYLINDRICAL_SURFACE('',#28032,0.399999999999999); #24832=CYLINDRICAL_SURFACE('',#28034,0.399999999999999); #24833=CYLINDRICAL_SURFACE('',#28036,0.399999999999999); #24834=CYLINDRICAL_SURFACE('',#28038,0.4); #24835=CYLINDRICAL_SURFACE('',#28040,0.399999999999999); #24836=CYLINDRICAL_SURFACE('',#28042,0.399999999999999); #24837=CYLINDRICAL_SURFACE('',#28044,0.4); #24838=CYLINDRICAL_SURFACE('',#28046,0.4); #24839=CYLINDRICAL_SURFACE('',#28048,0.399999999999999); #24840=CYLINDRICAL_SURFACE('',#28050,0.4); #24841=CYLINDRICAL_SURFACE('',#28052,0.400000000000001); #24842=CYLINDRICAL_SURFACE('',#28054,0.400000000000001); #24843=CYLINDRICAL_SURFACE('',#28056,0.399999999999999); #24844=CYLINDRICAL_SURFACE('',#28124,0.400000000000003); #24845=CYLINDRICAL_SURFACE('',#28125,0.200000000000003); #24846=CYLINDRICAL_SURFACE('',#28132,0.400000000000003); #24847=CYLINDRICAL_SURFACE('',#28133,0.200000000000003); #24848=CYLINDRICAL_SURFACE('',#28140,0.4); #24849=CYLINDRICAL_SURFACE('',#28141,0.2); #24850=CYLINDRICAL_SURFACE('',#28148,0.4); #24851=CYLINDRICAL_SURFACE('',#28149,0.2); #24852=CYLINDRICAL_SURFACE('',#28151,0.0999999999999996); #24853=CYLINDRICAL_SURFACE('',#28153,0.0999999999999988); #24854=CYLINDRICAL_SURFACE('',#28156,0.0999999999999996); #24855=CYLINDRICAL_SURFACE('',#28158,0.0999999999999996); #24856=CYLINDRICAL_SURFACE('',#28161,0.1); #24857=CYLINDRICAL_SURFACE('',#28163,0.0999999999999998); #24858=ADVANCED_FACE('',(#1255),#24531,.F.); #24859=ADVANCED_FACE('',(#1256),#274,.F.); #24860=ADVANCED_FACE('',(#1257),#275,.F.); #24861=ADVANCED_FACE('',(#1258),#276,.F.); #24862=ADVANCED_FACE('',(#1259),#277,.F.); #24863=ADVANCED_FACE('',(#1260),#278,.F.); #24864=ADVANCED_FACE('',(#1261),#279,.T.); #24865=ADVANCED_FACE('',(#1262),#280,.F.); #24866=ADVANCED_FACE('',(#1263),#281,.T.); #24867=ADVANCED_FACE('',(#1264),#282,.T.); #24868=ADVANCED_FACE('',(#1265),#283,.T.); #24869=ADVANCED_FACE('',(#1266),#284,.F.); #24870=ADVANCED_FACE('',(#1267),#285,.T.); #24871=ADVANCED_FACE('',(#1268),#286,.T.); #24872=ADVANCED_FACE('',(#1269),#287,.T.); #24873=ADVANCED_FACE('',(#1270),#288,.F.); #24874=ADVANCED_FACE('',(#1271),#289,.T.); #24875=ADVANCED_FACE('',(#1272),#290,.T.); #24876=ADVANCED_FACE('',(#1273),#291,.T.); #24877=ADVANCED_FACE('',(#1274),#292,.F.); #24878=ADVANCED_FACE('',(#1275),#293,.T.); #24879=ADVANCED_FACE('',(#1276),#294,.T.); #24880=ADVANCED_FACE('',(#1277),#295,.T.); #24881=ADVANCED_FACE('',(#1278),#296,.F.); #24882=ADVANCED_FACE('',(#1279),#297,.T.); #24883=ADVANCED_FACE('',(#1280),#298,.T.); #24884=ADVANCED_FACE('',(#1281),#299,.T.); #24885=ADVANCED_FACE('',(#1282),#300,.F.); #24886=ADVANCED_FACE('',(#1283),#301,.T.); #24887=ADVANCED_FACE('',(#1284),#302,.T.); #24888=ADVANCED_FACE('',(#1285),#303,.T.); #24889=ADVANCED_FACE('',(#1286),#304,.T.); #24890=ADVANCED_FACE('',(#1287),#305,.T.); #24891=ADVANCED_FACE('',(#1288),#306,.T.); #24892=ADVANCED_FACE('',(#1289),#307,.T.); #24893=ADVANCED_FACE('',(#1290),#308,.T.); #24894=ADVANCED_FACE('',(#1291),#309,.T.); #24895=ADVANCED_FACE('',(#1292),#310,.T.); #24896=ADVANCED_FACE('',(#1293),#311,.T.); #24897=ADVANCED_FACE('',(#1294),#312,.T.); #24898=ADVANCED_FACE('',(#1295),#313,.T.); #24899=ADVANCED_FACE('',(#1296),#314,.T.); #24900=ADVANCED_FACE('',(#1297),#315,.T.); #24901=ADVANCED_FACE('',(#1298),#316,.T.); #24902=ADVANCED_FACE('',(#1299),#317,.T.); #24903=ADVANCED_FACE('',(#1300),#318,.T.); #24904=ADVANCED_FACE('',(#1301),#319,.T.); #24905=ADVANCED_FACE('',(#1302),#320,.T.); #24906=ADVANCED_FACE('',(#1303),#321,.T.); #24907=ADVANCED_FACE('',(#1304),#322,.T.); #24908=ADVANCED_FACE('',(#1305),#323,.T.); #24909=ADVANCED_FACE('',(#1306),#324,.T.); #24910=ADVANCED_FACE('',(#1307),#325,.T.); #24911=ADVANCED_FACE('',(#1308),#326,.T.); #24912=ADVANCED_FACE('',(#1309),#327,.T.); #24913=ADVANCED_FACE('',(#1310),#328,.T.); #24914=ADVANCED_FACE('',(#1311),#329,.T.); #24915=ADVANCED_FACE('',(#1312),#330,.T.); #24916=ADVANCED_FACE('',(#1313),#331,.T.); #24917=ADVANCED_FACE('',(#1314),#332,.T.); #24918=ADVANCED_FACE('',(#1315),#333,.T.); #24919=ADVANCED_FACE('',(#1316),#334,.T.); #24920=ADVANCED_FACE('',(#1317),#335,.T.); #24921=ADVANCED_FACE('',(#1318),#336,.T.); #24922=ADVANCED_FACE('',(#1319),#337,.T.); #24923=ADVANCED_FACE('',(#1320),#338,.T.); #24924=ADVANCED_FACE('',(#1321),#339,.T.); #24925=ADVANCED_FACE('',(#1322),#340,.T.); #24926=ADVANCED_FACE('',(#1323),#341,.T.); #24927=ADVANCED_FACE('',(#1324),#342,.T.); #24928=ADVANCED_FACE('',(#1325),#343,.T.); #24929=ADVANCED_FACE('',(#1326),#344,.F.); #24930=ADVANCED_FACE('',(#1327),#345,.T.); #24931=ADVANCED_FACE('',(#1328),#346,.T.); #24932=ADVANCED_FACE('',(#1329),#347,.T.); #24933=ADVANCED_FACE('',(#1330),#348,.F.); #24934=ADVANCED_FACE('',(#1331),#349,.T.); #24935=ADVANCED_FACE('',(#1332),#350,.T.); #24936=ADVANCED_FACE('',(#1333),#351,.T.); #24937=ADVANCED_FACE('',(#1334),#352,.F.); #24938=ADVANCED_FACE('',(#1335),#353,.T.); #24939=ADVANCED_FACE('',(#1336),#354,.T.); #24940=ADVANCED_FACE('',(#1337),#355,.T.); #24941=ADVANCED_FACE('',(#1338),#356,.F.); #24942=ADVANCED_FACE('',(#1339),#357,.T.); #24943=ADVANCED_FACE('',(#1340),#358,.T.); #24944=ADVANCED_FACE('',(#1341),#359,.T.); #24945=ADVANCED_FACE('',(#1342),#360,.F.); #24946=ADVANCED_FACE('',(#1343),#361,.T.); #24947=ADVANCED_FACE('',(#1344),#362,.T.); #24948=ADVANCED_FACE('',(#1345),#363,.T.); #24949=ADVANCED_FACE('',(#1346),#364,.F.); #24950=ADVANCED_FACE('',(#1347),#365,.T.); #24951=ADVANCED_FACE('',(#1348),#366,.T.); #24952=ADVANCED_FACE('',(#1349),#367,.T.); #24953=ADVANCED_FACE('',(#1350),#368,.F.); #24954=ADVANCED_FACE('',(#1351),#369,.T.); #24955=ADVANCED_FACE('',(#1352),#370,.T.); #24956=ADVANCED_FACE('',(#1353),#371,.T.); #24957=ADVANCED_FACE('',(#1354),#372,.F.); #24958=ADVANCED_FACE('',(#1355),#373,.T.); #24959=ADVANCED_FACE('',(#1356),#374,.T.); #24960=ADVANCED_FACE('',(#1357),#375,.T.); #24961=ADVANCED_FACE('',(#1358),#376,.F.); #24962=ADVANCED_FACE('',(#1359),#377,.T.); #24963=ADVANCED_FACE('',(#1360),#378,.T.); #24964=ADVANCED_FACE('',(#1361),#379,.T.); #24965=ADVANCED_FACE('',(#1362),#380,.F.); #24966=ADVANCED_FACE('',(#1363),#381,.T.); #24967=ADVANCED_FACE('',(#1364),#382,.T.); #24968=ADVANCED_FACE('',(#1365),#383,.T.); #24969=ADVANCED_FACE('',(#1366),#384,.F.); #24970=ADVANCED_FACE('',(#1367),#385,.T.); #24971=ADVANCED_FACE('',(#1368),#386,.T.); #24972=ADVANCED_FACE('',(#1369),#387,.T.); #24973=ADVANCED_FACE('',(#1370),#388,.T.); #24974=ADVANCED_FACE('',(#1371),#389,.T.); #24975=ADVANCED_FACE('',(#1372),#390,.T.); #24976=ADVANCED_FACE('',(#1373),#391,.T.); #24977=ADVANCED_FACE('',(#1374),#392,.T.); #24978=ADVANCED_FACE('',(#1375),#393,.T.); #24979=ADVANCED_FACE('',(#1376),#394,.T.); #24980=ADVANCED_FACE('',(#1377),#395,.T.); #24981=ADVANCED_FACE('',(#1378),#396,.T.); #24982=ADVANCED_FACE('',(#1379),#397,.T.); #24983=ADVANCED_FACE('',(#1380),#398,.T.); #24984=ADVANCED_FACE('',(#1381),#399,.T.); #24985=ADVANCED_FACE('',(#1382),#400,.T.); #24986=ADVANCED_FACE('',(#1383),#401,.T.); #24987=ADVANCED_FACE('',(#1384),#402,.T.); #24988=ADVANCED_FACE('',(#1385),#403,.T.); #24989=ADVANCED_FACE('',(#1386),#404,.F.); #24990=ADVANCED_FACE('',(#1387),#405,.T.); #24991=ADVANCED_FACE('',(#1388),#406,.T.); #24992=ADVANCED_FACE('',(#1389),#407,.T.); #24993=ADVANCED_FACE('',(#1390),#408,.T.); #24994=ADVANCED_FACE('',(#1391),#409,.T.); #24995=ADVANCED_FACE('',(#1392),#410,.T.); #24996=ADVANCED_FACE('',(#1393),#411,.T.); #24997=ADVANCED_FACE('',(#1394),#412,.T.); #24998=ADVANCED_FACE('',(#1395),#413,.T.); #24999=ADVANCED_FACE('',(#1396),#414,.T.); #25000=ADVANCED_FACE('',(#1397),#415,.T.); #25001=ADVANCED_FACE('',(#1398),#416,.T.); #25002=ADVANCED_FACE('',(#1399),#417,.T.); #25003=ADVANCED_FACE('',(#1400),#418,.T.); #25004=ADVANCED_FACE('',(#1401),#419,.T.); #25005=ADVANCED_FACE('',(#1402),#420,.F.); #25006=ADVANCED_FACE('',(#1403),#421,.T.); #25007=ADVANCED_FACE('',(#1404),#422,.T.); #25008=ADVANCED_FACE('',(#1405),#423,.T.); #25009=ADVANCED_FACE('',(#1406),#424,.T.); #25010=ADVANCED_FACE('',(#1407),#425,.T.); #25011=ADVANCED_FACE('',(#1408),#426,.T.); #25012=ADVANCED_FACE('',(#1409),#427,.T.); #25013=ADVANCED_FACE('',(#1410),#428,.F.); #25014=ADVANCED_FACE('',(#1411),#429,.T.); #25015=ADVANCED_FACE('',(#1412),#430,.T.); #25016=ADVANCED_FACE('',(#1413),#431,.T.); #25017=ADVANCED_FACE('',(#1414),#432,.F.); #25018=ADVANCED_FACE('',(#1415),#433,.T.); #25019=ADVANCED_FACE('',(#1416),#434,.T.); #25020=ADVANCED_FACE('',(#1417),#435,.T.); #25021=ADVANCED_FACE('',(#1418),#436,.F.); #25022=ADVANCED_FACE('',(#1419),#437,.T.); #25023=ADVANCED_FACE('',(#1420),#438,.T.); #25024=ADVANCED_FACE('',(#1421),#439,.T.); #25025=ADVANCED_FACE('',(#1422),#440,.F.); #25026=ADVANCED_FACE('',(#1423),#441,.T.); #25027=ADVANCED_FACE('',(#1424),#442,.T.); #25028=ADVANCED_FACE('',(#1425),#443,.T.); #25029=ADVANCED_FACE('',(#1426),#444,.T.); #25030=ADVANCED_FACE('',(#1427),#445,.T.); #25031=ADVANCED_FACE('',(#1428),#24532,.F.); #25032=ADVANCED_FACE('',(#1429),#24533,.F.); #25033=ADVANCED_FACE('',(#1430),#24534,.F.); #25034=ADVANCED_FACE('',(#1431),#24535,.F.); #25035=ADVANCED_FACE('',(#1432),#24536,.F.); #25036=ADVANCED_FACE('',(#1433),#24537,.F.); #25037=ADVANCED_FACE('',(#1434),#24538,.F.); #25038=ADVANCED_FACE('',(#1435),#24539,.F.); #25039=ADVANCED_FACE('',(#1436),#24540,.F.); #25040=ADVANCED_FACE('',(#1437),#446,.T.); #25041=ADVANCED_FACE('',(#1438),#447,.T.); #25042=ADVANCED_FACE('',(#1439),#448,.T.); #25043=ADVANCED_FACE('',(#1440),#449,.T.); #25044=ADVANCED_FACE('',(#1441),#450,.T.); #25045=ADVANCED_FACE('',(#1442),#451,.T.); #25046=ADVANCED_FACE('',(#1443),#452,.T.); #25047=ADVANCED_FACE('',(#1444),#453,.T.); #25048=ADVANCED_FACE('',(#1445),#454,.T.); #25049=ADVANCED_FACE('',(#1446,#23),#455,.F.); #25050=ADVANCED_FACE('',(#1447),#456,.F.); #25051=ADVANCED_FACE('',(#1448),#457,.F.); #25052=ADVANCED_FACE('',(#1449),#458,.F.); #25053=ADVANCED_FACE('',(#1450),#459,.F.); #25054=ADVANCED_FACE('',(#1451),#460,.F.); #25055=ADVANCED_FACE('',(#1452),#461,.F.); #25056=ADVANCED_FACE('',(#1453),#462,.F.); #25057=ADVANCED_FACE('',(#1454),#463,.F.); #25058=ADVANCED_FACE('',(#1455),#464,.F.); #25059=ADVANCED_FACE('',(#1456),#465,.F.); #25060=ADVANCED_FACE('',(#1457),#466,.F.); #25061=ADVANCED_FACE('',(#1458),#467,.F.); #25062=ADVANCED_FACE('',(#1459),#468,.F.); #25063=ADVANCED_FACE('',(#1460),#469,.F.); #25064=ADVANCED_FACE('',(#1461),#470,.F.); #25065=ADVANCED_FACE('',(#1462),#471,.F.); #25066=ADVANCED_FACE('',(#1463),#472,.F.); #25067=ADVANCED_FACE('',(#1464),#473,.F.); #25068=ADVANCED_FACE('',(#1465),#474,.F.); #25069=ADVANCED_FACE('',(#1466),#475,.F.); #25070=ADVANCED_FACE('',(#1467),#476,.F.); #25071=ADVANCED_FACE('',(#1468),#477,.F.); #25072=ADVANCED_FACE('',(#1469),#478,.F.); #25073=ADVANCED_FACE('',(#1470),#479,.F.); #25074=ADVANCED_FACE('',(#1471),#480,.F.); #25075=ADVANCED_FACE('',(#1472),#481,.F.); #25076=ADVANCED_FACE('',(#1473),#482,.F.); #25077=ADVANCED_FACE('',(#1474),#483,.F.); #25078=ADVANCED_FACE('',(#1475),#484,.F.); #25079=ADVANCED_FACE('',(#1476),#485,.F.); #25080=ADVANCED_FACE('',(#1477),#486,.F.); #25081=ADVANCED_FACE('',(#1478),#487,.F.); #25082=ADVANCED_FACE('',(#1479),#488,.F.); #25083=ADVANCED_FACE('',(#1480),#489,.F.); #25084=ADVANCED_FACE('',(#1481),#490,.F.); #25085=ADVANCED_FACE('',(#1482),#491,.F.); #25086=ADVANCED_FACE('',(#1483),#492,.F.); #25087=ADVANCED_FACE('',(#1484),#493,.F.); #25088=ADVANCED_FACE('',(#1485),#494,.F.); #25089=ADVANCED_FACE('',(#1486),#495,.F.); #25090=ADVANCED_FACE('',(#1487),#496,.F.); #25091=ADVANCED_FACE('',(#1488),#497,.F.); #25092=ADVANCED_FACE('',(#1489),#498,.T.); #25093=ADVANCED_FACE('',(#1490),#499,.F.); #25094=ADVANCED_FACE('',(#1491),#500,.F.); #25095=ADVANCED_FACE('',(#1492),#501,.F.); #25096=ADVANCED_FACE('',(#1493),#502,.T.); #25097=ADVANCED_FACE('',(#1494),#503,.F.); #25098=ADVANCED_FACE('',(#1495),#504,.F.); #25099=ADVANCED_FACE('',(#1496),#505,.F.); #25100=ADVANCED_FACE('',(#1497),#506,.F.); #25101=ADVANCED_FACE('',(#1498),#507,.F.); #25102=ADVANCED_FACE('',(#1499),#508,.F.); #25103=ADVANCED_FACE('',(#1500),#509,.F.); #25104=ADVANCED_FACE('',(#1501),#510,.T.); #25105=ADVANCED_FACE('',(#1502),#511,.F.); #25106=ADVANCED_FACE('',(#1503),#512,.F.); #25107=ADVANCED_FACE('',(#1504),#513,.F.); #25108=ADVANCED_FACE('',(#1505),#514,.F.); #25109=ADVANCED_FACE('',(#1506),#515,.F.); #25110=ADVANCED_FACE('',(#1507),#516,.F.); #25111=ADVANCED_FACE('',(#1508),#517,.F.); #25112=ADVANCED_FACE('',(#1509),#518,.T.); #25113=ADVANCED_FACE('',(#1510),#519,.F.); #25114=ADVANCED_FACE('',(#1511),#520,.F.); #25115=ADVANCED_FACE('',(#1512),#521,.F.); #25116=ADVANCED_FACE('',(#1513),#522,.F.); #25117=ADVANCED_FACE('',(#1514),#523,.F.); #25118=ADVANCED_FACE('',(#1515),#524,.F.); #25119=ADVANCED_FACE('',(#1516),#525,.F.); #25120=ADVANCED_FACE('',(#1517),#526,.T.); #25121=ADVANCED_FACE('',(#1518),#527,.F.); #25122=ADVANCED_FACE('',(#1519),#528,.F.); #25123=ADVANCED_FACE('',(#1520),#529,.F.); #25124=ADVANCED_FACE('',(#1521),#530,.F.); #25125=ADVANCED_FACE('',(#1522),#531,.F.); #25126=ADVANCED_FACE('',(#1523),#532,.F.); #25127=ADVANCED_FACE('',(#1524),#533,.F.); #25128=ADVANCED_FACE('',(#1525),#534,.T.); #25129=ADVANCED_FACE('',(#1526),#535,.F.); #25130=ADVANCED_FACE('',(#1527),#536,.F.); #25131=ADVANCED_FACE('',(#1528),#537,.F.); #25132=ADVANCED_FACE('',(#1529),#538,.F.); #25133=ADVANCED_FACE('',(#1530),#539,.F.); #25134=ADVANCED_FACE('',(#1531),#540,.F.); #25135=ADVANCED_FACE('',(#1532),#541,.F.); #25136=ADVANCED_FACE('',(#1533),#542,.T.); #25137=ADVANCED_FACE('',(#1534),#543,.F.); #25138=ADVANCED_FACE('',(#1535),#544,.F.); #25139=ADVANCED_FACE('',(#1536),#545,.F.); #25140=ADVANCED_FACE('',(#1537),#546,.F.); #25141=ADVANCED_FACE('',(#1538),#547,.F.); #25142=ADVANCED_FACE('',(#1539),#548,.F.); #25143=ADVANCED_FACE('',(#1540),#549,.F.); #25144=ADVANCED_FACE('',(#1541),#550,.T.); #25145=ADVANCED_FACE('',(#1542),#551,.F.); #25146=ADVANCED_FACE('',(#1543),#552,.F.); #25147=ADVANCED_FACE('',(#1544),#553,.F.); #25148=ADVANCED_FACE('',(#1545),#554,.F.); #25149=ADVANCED_FACE('',(#1546),#555,.F.); #25150=ADVANCED_FACE('',(#1547),#556,.F.); #25151=ADVANCED_FACE('',(#1548),#557,.F.); #25152=ADVANCED_FACE('',(#1549),#558,.T.); #25153=ADVANCED_FACE('',(#1550),#559,.F.); #25154=ADVANCED_FACE('',(#1551),#560,.F.); #25155=ADVANCED_FACE('',(#1552),#561,.F.); #25156=ADVANCED_FACE('',(#1553),#562,.F.); #25157=ADVANCED_FACE('',(#1554),#563,.F.); #25158=ADVANCED_FACE('',(#1555),#564,.F.); #25159=ADVANCED_FACE('',(#1556),#565,.F.); #25160=ADVANCED_FACE('',(#1557),#566,.T.); #25161=ADVANCED_FACE('',(#1558),#567,.F.); #25162=ADVANCED_FACE('',(#1559),#568,.F.); #25163=ADVANCED_FACE('',(#1560),#569,.F.); #25164=ADVANCED_FACE('',(#1561),#570,.F.); #25165=ADVANCED_FACE('',(#1562),#571,.F.); #25166=ADVANCED_FACE('',(#1563),#572,.F.); #25167=ADVANCED_FACE('',(#1564),#573,.F.); #25168=ADVANCED_FACE('',(#1565),#574,.T.); #25169=ADVANCED_FACE('',(#1566),#575,.F.); #25170=ADVANCED_FACE('',(#1567),#576,.F.); #25171=ADVANCED_FACE('',(#1568),#577,.F.); #25172=ADVANCED_FACE('',(#1569),#578,.F.); #25173=ADVANCED_FACE('',(#1570),#579,.F.); #25174=ADVANCED_FACE('',(#1571),#580,.F.); #25175=ADVANCED_FACE('',(#1572),#581,.F.); #25176=ADVANCED_FACE('',(#1573),#582,.T.); #25177=ADVANCED_FACE('',(#1574),#583,.F.); #25178=ADVANCED_FACE('',(#1575),#584,.F.); #25179=ADVANCED_FACE('',(#1576),#585,.F.); #25180=ADVANCED_FACE('',(#1577),#586,.F.); #25181=ADVANCED_FACE('',(#1578),#587,.F.); #25182=ADVANCED_FACE('',(#1579),#588,.F.); #25183=ADVANCED_FACE('',(#1580),#589,.F.); #25184=ADVANCED_FACE('',(#1581),#590,.T.); #25185=ADVANCED_FACE('',(#1582),#591,.F.); #25186=ADVANCED_FACE('',(#1583),#592,.F.); #25187=ADVANCED_FACE('',(#1584),#593,.F.); #25188=ADVANCED_FACE('',(#1585),#594,.F.); #25189=ADVANCED_FACE('',(#1586),#595,.F.); #25190=ADVANCED_FACE('',(#1587),#596,.F.); #25191=ADVANCED_FACE('',(#1588),#597,.F.); #25192=ADVANCED_FACE('',(#1589),#598,.T.); #25193=ADVANCED_FACE('',(#1590),#599,.F.); #25194=ADVANCED_FACE('',(#1591),#600,.F.); #25195=ADVANCED_FACE('',(#1592),#601,.F.); #25196=ADVANCED_FACE('',(#1593),#602,.F.); #25197=ADVANCED_FACE('',(#1594),#603,.F.); #25198=ADVANCED_FACE('',(#1595),#604,.F.); #25199=ADVANCED_FACE('',(#1596),#605,.F.); #25200=ADVANCED_FACE('',(#1597),#606,.T.); #25201=ADVANCED_FACE('',(#1598),#607,.F.); #25202=ADVANCED_FACE('',(#1599),#608,.F.); #25203=ADVANCED_FACE('',(#1600),#609,.F.); #25204=ADVANCED_FACE('',(#1601),#610,.F.); #25205=ADVANCED_FACE('',(#1602),#611,.F.); #25206=ADVANCED_FACE('',(#1603),#612,.F.); #25207=ADVANCED_FACE('',(#1604),#613,.F.); #25208=ADVANCED_FACE('',(#1605),#614,.T.); #25209=ADVANCED_FACE('',(#1606),#615,.F.); #25210=ADVANCED_FACE('',(#1607),#616,.F.); #25211=ADVANCED_FACE('',(#1608),#617,.F.); #25212=ADVANCED_FACE('',(#1609),#618,.F.); #25213=ADVANCED_FACE('',(#1610),#24541,.F.); #25214=ADVANCED_FACE('',(#1611),#24542,.F.); #25215=ADVANCED_FACE('',(#1612),#24543,.F.); #25216=ADVANCED_FACE('',(#1613),#24544,.F.); #25217=ADVANCED_FACE('',(#1614),#24545,.F.); #25218=ADVANCED_FACE('',(#1615),#24546,.F.); #25219=ADVANCED_FACE('',(#1616),#24547,.F.); #25220=ADVANCED_FACE('',(#1617),#24548,.F.); #25221=ADVANCED_FACE('',(#1618),#24549,.F.); #25222=ADVANCED_FACE('',(#1619),#24550,.F.); #25223=ADVANCED_FACE('',(#1620),#24551,.F.); #25224=ADVANCED_FACE('',(#1621),#24552,.F.); #25225=ADVANCED_FACE('',(#1622),#24553,.F.); #25226=ADVANCED_FACE('',(#1623),#24554,.F.); #25227=ADVANCED_FACE('',(#1624),#24555,.F.); #25228=ADVANCED_FACE('',(#1625),#24556,.F.); #25229=ADVANCED_FACE('',(#1626),#24557,.F.); #25230=ADVANCED_FACE('',(#1627),#24558,.F.); #25231=ADVANCED_FACE('',(#1628),#24559,.F.); #25232=ADVANCED_FACE('',(#1629),#24560,.F.); #25233=ADVANCED_FACE('',(#1630),#24561,.F.); #25234=ADVANCED_FACE('',(#1631),#24562,.F.); #25235=ADVANCED_FACE('',(#1632),#24563,.F.); #25236=ADVANCED_FACE('',(#1633),#24564,.F.); #25237=ADVANCED_FACE('',(#1634),#24565,.F.); #25238=ADVANCED_FACE('',(#1635),#24566,.F.); #25239=ADVANCED_FACE('',(#1636),#24567,.F.); #25240=ADVANCED_FACE('',(#1637),#24568,.F.); #25241=ADVANCED_FACE('',(#1638),#24569,.F.); #25242=ADVANCED_FACE('',(#1639),#24570,.F.); #25243=ADVANCED_FACE('',(#1640),#24571,.F.); #25244=ADVANCED_FACE('',(#1641),#24572,.F.); #25245=ADVANCED_FACE('',(#1642,#24),#619,.T.); #25246=ADVANCED_FACE('',(#1643),#620,.F.); #25247=ADVANCED_FACE('',(#1644),#621,.F.); #25248=ADVANCED_FACE('',(#1645),#622,.F.); #25249=ADVANCED_FACE('',(#1646),#623,.F.); #25250=ADVANCED_FACE('',(#1647),#624,.T.); #25251=ADVANCED_FACE('',(#1648),#625,.T.); #25252=ADVANCED_FACE('',(#1649),#626,.T.); #25253=ADVANCED_FACE('',(#1650),#627,.T.); #25254=ADVANCED_FACE('',(#1651),#628,.F.); #25255=ADVANCED_FACE('',(#1652),#629,.T.); #25256=ADVANCED_FACE('',(#1653),#24573,.F.); #25257=ADVANCED_FACE('',(#1654),#630,.F.); #25258=ADVANCED_FACE('',(#1655),#631,.F.); #25259=ADVANCED_FACE('',(#1656),#632,.F.); #25260=ADVANCED_FACE('',(#1657),#633,.F.); #25261=ADVANCED_FACE('',(#1658),#634,.F.); #25262=ADVANCED_FACE('',(#1659),#635,.F.); #25263=ADVANCED_FACE('',(#1660),#636,.T.); #25264=ADVANCED_FACE('',(#1661),#24574,.F.); #25265=ADVANCED_FACE('',(#1662),#637,.F.); #25266=ADVANCED_FACE('',(#1663),#638,.F.); #25267=ADVANCED_FACE('',(#1664),#639,.F.); #25268=ADVANCED_FACE('',(#1665),#640,.F.); #25269=ADVANCED_FACE('',(#1666),#641,.F.); #25270=ADVANCED_FACE('',(#1667),#642,.F.); #25271=ADVANCED_FACE('',(#1668),#643,.T.); #25272=ADVANCED_FACE('',(#1669),#24575,.F.); #25273=ADVANCED_FACE('',(#1670),#644,.F.); #25274=ADVANCED_FACE('',(#1671),#645,.F.); #25275=ADVANCED_FACE('',(#1672),#646,.F.); #25276=ADVANCED_FACE('',(#1673),#647,.F.); #25277=ADVANCED_FACE('',(#1674),#648,.F.); #25278=ADVANCED_FACE('',(#1675),#649,.F.); #25279=ADVANCED_FACE('',(#1676),#650,.T.); #25280=ADVANCED_FACE('',(#1677),#24576,.F.); #25281=ADVANCED_FACE('',(#1678),#651,.F.); #25282=ADVANCED_FACE('',(#1679),#652,.F.); #25283=ADVANCED_FACE('',(#1680),#653,.F.); #25284=ADVANCED_FACE('',(#1681),#654,.F.); #25285=ADVANCED_FACE('',(#1682),#655,.F.); #25286=ADVANCED_FACE('',(#1683),#656,.F.); #25287=ADVANCED_FACE('',(#1684),#657,.T.); #25288=ADVANCED_FACE('',(#1685),#24577,.F.); #25289=ADVANCED_FACE('',(#1686),#658,.F.); #25290=ADVANCED_FACE('',(#1687),#659,.F.); #25291=ADVANCED_FACE('',(#1688),#660,.F.); #25292=ADVANCED_FACE('',(#1689),#661,.F.); #25293=ADVANCED_FACE('',(#1690),#662,.F.); #25294=ADVANCED_FACE('',(#1691),#663,.T.); #25295=ADVANCED_FACE('',(#1692),#664,.F.); #25296=ADVANCED_FACE('',(#1693),#24578,.F.); #25297=ADVANCED_FACE('',(#1694),#665,.F.); #25298=ADVANCED_FACE('',(#1695),#666,.F.); #25299=ADVANCED_FACE('',(#1696),#667,.F.); #25300=ADVANCED_FACE('',(#1697),#668,.F.); #25301=ADVANCED_FACE('',(#1698),#669,.F.); #25302=ADVANCED_FACE('',(#1699),#670,.T.); #25303=ADVANCED_FACE('',(#1700),#671,.F.); #25304=ADVANCED_FACE('',(#1701),#24579,.F.); #25305=ADVANCED_FACE('',(#1702),#672,.F.); #25306=ADVANCED_FACE('',(#1703),#673,.F.); #25307=ADVANCED_FACE('',(#1704),#674,.F.); #25308=ADVANCED_FACE('',(#1705),#675,.F.); #25309=ADVANCED_FACE('',(#1706),#676,.F.); #25310=ADVANCED_FACE('',(#1707),#677,.F.); #25311=ADVANCED_FACE('',(#1708),#678,.T.); #25312=ADVANCED_FACE('',(#1709),#24580,.F.); #25313=ADVANCED_FACE('',(#1710),#679,.F.); #25314=ADVANCED_FACE('',(#1711),#680,.F.); #25315=ADVANCED_FACE('',(#1712),#681,.F.); #25316=ADVANCED_FACE('',(#1713),#682,.F.); #25317=ADVANCED_FACE('',(#1714),#683,.F.); #25318=ADVANCED_FACE('',(#1715),#684,.F.); #25319=ADVANCED_FACE('',(#1716),#685,.T.); #25320=ADVANCED_FACE('',(#1717),#686,.F.); #25321=ADVANCED_FACE('',(#1718),#24581,.T.); #25322=ADVANCED_FACE('',(#1719),#687,.F.); #25323=ADVANCED_FACE('',(#1720),#24582,.T.); #25324=ADVANCED_FACE('',(#1721),#24583,.T.); #25325=ADVANCED_FACE('',(#1722),#688,.F.); #25326=ADVANCED_FACE('',(#1723),#24584,.T.); #25327=ADVANCED_FACE('',(#1724),#24585,.T.); #25328=ADVANCED_FACE('',(#1725),#24586,.T.); #25329=ADVANCED_FACE('',(#1726),#24587,.T.); #25330=ADVANCED_FACE('',(#1727),#689,.F.); #25331=ADVANCED_FACE('',(#1728),#24588,.F.); #25332=ADVANCED_FACE('',(#1729),#24589,.F.); #25333=ADVANCED_FACE('',(#1730),#690,.F.); #25334=ADVANCED_FACE('',(#1731),#24590,.F.); #25335=ADVANCED_FACE('',(#1732),#691,.F.); #25336=ADVANCED_FACE('',(#1733),#692,.F.); #25337=ADVANCED_FACE('',(#1734),#24591,.F.); #25338=ADVANCED_FACE('',(#1735),#24592,.F.); #25339=ADVANCED_FACE('',(#1736),#24593,.F.); #25340=ADVANCED_FACE('',(#1737),#24594,.F.); #25341=ADVANCED_FACE('',(#1738),#693,.F.); #25342=ADVANCED_FACE('',(#1739,#25),#694,.T.); #25343=ADVANCED_FACE('',(#1740),#24595,.F.); #25344=ADVANCED_FACE('',(#1741),#695,.F.); #25345=ADVANCED_FACE('',(#1742),#696,.F.); #25346=ADVANCED_FACE('',(#1743),#697,.F.); #25347=ADVANCED_FACE('',(#1744),#698,.F.); #25348=ADVANCED_FACE('',(#1745),#699,.F.); #25349=ADVANCED_FACE('',(#1746),#700,.F.); #25350=ADVANCED_FACE('',(#1747),#701,.F.); #25351=ADVANCED_FACE('',(#1748),#702,.F.); #25352=ADVANCED_FACE('',(#1749),#24596,.F.); #25353=ADVANCED_FACE('',(#1750),#703,.F.); #25354=ADVANCED_FACE('',(#1751),#704,.F.); #25355=ADVANCED_FACE('',(#1752),#24597,.F.); #25356=ADVANCED_FACE('',(#1753),#24598,.F.); #25357=ADVANCED_FACE('',(#1754),#705,.F.); #25358=ADVANCED_FACE('',(#1755),#24599,.F.); #25359=ADVANCED_FACE('',(#1756),#706,.F.); #25360=ADVANCED_FACE('',(#1757),#707,.F.); #25361=ADVANCED_FACE('',(#1758),#708,.F.); #25362=ADVANCED_FACE('',(#1759),#709,.F.); #25363=ADVANCED_FACE('',(#1760),#710,.F.); #25364=ADVANCED_FACE('',(#1761),#711,.F.); #25365=ADVANCED_FACE('',(#1762),#712,.F.); #25366=ADVANCED_FACE('',(#1763),#713,.F.); #25367=ADVANCED_FACE('',(#1764),#714,.F.); #25368=ADVANCED_FACE('',(#1765),#24600,.F.); #25369=ADVANCED_FACE('',(#1766),#715,.F.); #25370=ADVANCED_FACE('',(#1767),#716,.F.); #25371=ADVANCED_FACE('',(#1768),#717,.F.); #25372=ADVANCED_FACE('',(#1769),#718,.F.); #25373=ADVANCED_FACE('',(#1770),#719,.F.); #25374=ADVANCED_FACE('',(#1771),#720,.T.); #25375=ADVANCED_FACE('',(#1772),#721,.F.); #25376=ADVANCED_FACE('',(#1773),#722,.F.); #25377=ADVANCED_FACE('',(#1774),#723,.F.); #25378=ADVANCED_FACE('',(#1775),#724,.F.); #25379=ADVANCED_FACE('',(#1776),#725,.F.); #25380=ADVANCED_FACE('',(#1777),#726,.F.); #25381=ADVANCED_FACE('',(#1778),#727,.F.); #25382=ADVANCED_FACE('',(#1779),#24601,.F.); #25383=ADVANCED_FACE('',(#1780),#24602,.F.); #25384=ADVANCED_FACE('',(#1781),#728,.F.); #25385=ADVANCED_FACE('',(#1782),#24603,.T.); #25386=ADVANCED_FACE('',(#1783),#24604,.T.); #25387=ADVANCED_FACE('',(#1784),#24605,.T.); #25388=ADVANCED_FACE('',(#1785),#729,.F.); #25389=ADVANCED_FACE('',(#1786),#730,.F.); #25390=ADVANCED_FACE('',(#1787),#731,.F.); #25391=ADVANCED_FACE('',(#1788),#732,.F.); #25392=ADVANCED_FACE('',(#1789),#733,.F.); #25393=ADVANCED_FACE('',(#1790),#24606,.F.); #25394=ADVANCED_FACE('',(#1791),#734,.F.); #25395=ADVANCED_FACE('',(#1792),#24607,.F.); #25396=ADVANCED_FACE('',(#1793),#24608,.F.); #25397=ADVANCED_FACE('',(#1794),#24609,.T.); #25398=ADVANCED_FACE('',(#1795),#735,.F.); #25399=ADVANCED_FACE('',(#1796),#24610,.F.); #25400=ADVANCED_FACE('',(#1797),#736,.F.); #25401=ADVANCED_FACE('',(#1798),#737,.F.); #25402=ADVANCED_FACE('',(#1799),#24611,.F.); #25403=ADVANCED_FACE('',(#1800),#738,.F.); #25404=ADVANCED_FACE('',(#1801),#739,.F.); #25405=ADVANCED_FACE('',(#1802),#740,.F.); #25406=ADVANCED_FACE('',(#1803),#741,.F.); #25407=ADVANCED_FACE('',(#1804),#742,.F.); #25408=ADVANCED_FACE('',(#1805),#743,.T.); #25409=ADVANCED_FACE('',(#1806),#744,.F.); #25410=ADVANCED_FACE('',(#1807),#24612,.F.); #25411=ADVANCED_FACE('',(#1808),#24613,.F.); #25412=ADVANCED_FACE('',(#1809),#745,.F.); #25413=ADVANCED_FACE('',(#1810),#746,.F.); #25414=ADVANCED_FACE('',(#1811),#24614,.T.); #25415=ADVANCED_FACE('',(#1812),#24615,.F.); #25416=ADVANCED_FACE('',(#1813),#747,.F.); #25417=ADVANCED_FACE('',(#1814),#24616,.F.); #25418=ADVANCED_FACE('',(#1815),#24617,.F.); #25419=ADVANCED_FACE('',(#1816),#748,.F.); #25420=ADVANCED_FACE('',(#1817),#749,.F.); #25421=ADVANCED_FACE('',(#1818),#24618,.F.); #25422=ADVANCED_FACE('',(#1819),#24619,.F.); #25423=ADVANCED_FACE('',(#1820),#750,.F.); #25424=ADVANCED_FACE('',(#1821),#751,.F.); #25425=ADVANCED_FACE('',(#1822),#752,.F.); #25426=ADVANCED_FACE('',(#1823),#24620,.T.); #25427=ADVANCED_FACE('',(#1824),#753,.F.); #25428=ADVANCED_FACE('',(#1825),#754,.F.); #25429=ADVANCED_FACE('',(#1826),#755,.F.); #25430=ADVANCED_FACE('',(#1827),#756,.F.); #25431=ADVANCED_FACE('',(#1828),#757,.F.); #25432=ADVANCED_FACE('',(#1829),#24621,.F.); #25433=ADVANCED_FACE('',(#1830),#758,.F.); #25434=ADVANCED_FACE('',(#1831),#759,.F.); #25435=ADVANCED_FACE('',(#1832),#760,.F.); #25436=ADVANCED_FACE('',(#1833),#761,.F.); #25437=ADVANCED_FACE('',(#1834),#762,.F.); #25438=ADVANCED_FACE('',(#1835),#763,.F.); #25439=ADVANCED_FACE('',(#1836),#764,.F.); #25440=ADVANCED_FACE('',(#1837),#765,.F.); #25441=ADVANCED_FACE('',(#1838),#766,.F.); #25442=ADVANCED_FACE('',(#1839),#767,.F.); #25443=ADVANCED_FACE('',(#1840),#768,.F.); #25444=ADVANCED_FACE('',(#1841),#769,.F.); #25445=ADVANCED_FACE('',(#1842),#24622,.T.); #25446=ADVANCED_FACE('',(#1843),#24623,.F.); #25447=ADVANCED_FACE('',(#1844),#770,.F.); #25448=ADVANCED_FACE('',(#1845),#771,.F.); #25449=ADVANCED_FACE('',(#1846),#772,.F.); #25450=ADVANCED_FACE('',(#1847),#773,.F.); #25451=ADVANCED_FACE('',(#1848),#24624,.T.); #25452=ADVANCED_FACE('',(#1849),#774,.F.); #25453=ADVANCED_FACE('',(#1850),#775,.F.); #25454=ADVANCED_FACE('',(#1851),#24625,.T.); #25455=ADVANCED_FACE('',(#1852),#776,.F.); #25456=ADVANCED_FACE('',(#1853),#777,.F.); #25457=ADVANCED_FACE('',(#1854),#24626,.F.); #25458=ADVANCED_FACE('',(#1855),#24627,.F.); #25459=ADVANCED_FACE('',(#1856),#778,.F.); #25460=ADVANCED_FACE('',(#1857),#779,.F.); #25461=ADVANCED_FACE('',(#1858),#24628,.F.); #25462=ADVANCED_FACE('',(#1859),#780,.F.); #25463=ADVANCED_FACE('',(#1860),#781,.F.); #25464=ADVANCED_FACE('',(#1861),#782,.F.); #25465=ADVANCED_FACE('',(#1862),#783,.F.); #25466=ADVANCED_FACE('',(#1863),#784,.F.); #25467=ADVANCED_FACE('',(#1864),#785,.F.); #25468=ADVANCED_FACE('',(#1865),#786,.F.); #25469=ADVANCED_FACE('',(#1866),#24629,.F.); #25470=ADVANCED_FACE('',(#1867),#787,.F.); #25471=ADVANCED_FACE('',(#1868),#788,.F.); #25472=ADVANCED_FACE('',(#1869),#789,.F.); #25473=ADVANCED_FACE('',(#1870),#24630,.F.); #25474=ADVANCED_FACE('',(#1871),#790,.F.); #25475=ADVANCED_FACE('',(#1872),#791,.F.); #25476=ADVANCED_FACE('',(#1873),#792,.F.); #25477=ADVANCED_FACE('',(#1874),#793,.F.); #25478=ADVANCED_FACE('',(#1875),#24631,.F.); #25479=ADVANCED_FACE('',(#1876),#794,.F.); #25480=ADVANCED_FACE('',(#1877),#795,.F.); #25481=ADVANCED_FACE('',(#1878),#796,.F.); #25482=ADVANCED_FACE('',(#1879),#797,.F.); #25483=ADVANCED_FACE('',(#1880),#24632,.F.); #25484=ADVANCED_FACE('',(#1881),#798,.F.); #25485=ADVANCED_FACE('',(#1882),#799,.F.); #25486=ADVANCED_FACE('',(#1883),#800,.F.); #25487=ADVANCED_FACE('',(#1884),#24633,.F.); #25488=ADVANCED_FACE('',(#1885),#801,.F.); #25489=ADVANCED_FACE('',(#1886),#802,.F.); #25490=ADVANCED_FACE('',(#1887),#24634,.F.); #25491=ADVANCED_FACE('',(#1888),#803,.F.); #25492=ADVANCED_FACE('',(#1889),#804,.F.); #25493=ADVANCED_FACE('',(#1890),#805,.T.); #25494=ADVANCED_FACE('',(#1891),#24635,.F.); #25495=ADVANCED_FACE('',(#1892),#24636,.F.); #25496=ADVANCED_FACE('',(#1893),#806,.F.); #25497=ADVANCED_FACE('',(#1894),#24637,.T.); #25498=ADVANCED_FACE('',(#1895),#24638,.T.); #25499=ADVANCED_FACE('',(#1896),#24639,.T.); #25500=ADVANCED_FACE('',(#1897),#24640,.T.); #25501=ADVANCED_FACE('',(#1898),#24641,.T.); #25502=ADVANCED_FACE('',(#1899),#24642,.T.); #25503=ADVANCED_FACE('',(#1900),#24643,.T.); #25504=ADVANCED_FACE('',(#1901),#807,.F.); #25505=ADVANCED_FACE('',(#1902),#24644,.F.); #25506=ADVANCED_FACE('',(#1903),#808,.F.); #25507=ADVANCED_FACE('',(#1904),#809,.F.); #25508=ADVANCED_FACE('',(#1905),#810,.F.); #25509=ADVANCED_FACE('',(#1906),#24645,.F.); #25510=ADVANCED_FACE('',(#1907),#811,.F.); #25511=ADVANCED_FACE('',(#1908),#812,.F.); #25512=ADVANCED_FACE('',(#1909),#813,.F.); #25513=ADVANCED_FACE('',(#1910),#814,.F.); #25514=ADVANCED_FACE('',(#1911),#815,.F.); #25515=ADVANCED_FACE('',(#1912),#24646,.F.); #25516=ADVANCED_FACE('',(#1913),#24647,.F.); #25517=ADVANCED_FACE('',(#1914),#24648,.F.); #25518=ADVANCED_FACE('',(#1915),#24649,.F.); #25519=ADVANCED_FACE('',(#1916),#24650,.F.); #25520=ADVANCED_FACE('',(#1917),#24651,.F.); #25521=ADVANCED_FACE('',(#1918),#24652,.F.); #25522=ADVANCED_FACE('',(#1919),#816,.F.); #25523=ADVANCED_FACE('',(#1920),#24653,.T.); #25524=ADVANCED_FACE('',(#1921),#24654,.T.); #25525=ADVANCED_FACE('',(#1922),#24655,.T.); #25526=ADVANCED_FACE('',(#1923),#24656,.T.); #25527=ADVANCED_FACE('',(#1924),#24657,.T.); #25528=ADVANCED_FACE('',(#1925),#24658,.T.); #25529=ADVANCED_FACE('',(#1926),#24659,.T.); #25530=ADVANCED_FACE('',(#1927),#817,.F.); #25531=ADVANCED_FACE('',(#1928),#24660,.F.); #25532=ADVANCED_FACE('',(#1929),#818,.F.); #25533=ADVANCED_FACE('',(#1930),#819,.F.); #25534=ADVANCED_FACE('',(#1931),#820,.F.); #25535=ADVANCED_FACE('',(#1932),#821,.F.); #25536=ADVANCED_FACE('',(#1933),#24661,.F.); #25537=ADVANCED_FACE('',(#1934),#822,.F.); #25538=ADVANCED_FACE('',(#1935),#823,.F.); #25539=ADVANCED_FACE('',(#1936),#824,.F.); #25540=ADVANCED_FACE('',(#1937),#825,.F.); #25541=ADVANCED_FACE('',(#1938),#24662,.F.); #25542=ADVANCED_FACE('',(#1939),#24663,.F.); #25543=ADVANCED_FACE('',(#1940),#24664,.F.); #25544=ADVANCED_FACE('',(#1941),#826,.F.); #25545=ADVANCED_FACE('',(#1942),#24665,.F.); #25546=ADVANCED_FACE('',(#1943),#24666,.F.); #25547=ADVANCED_FACE('',(#1944),#24667,.F.); #25548=ADVANCED_FACE('',(#1945),#827,.T.); #25549=ADVANCED_FACE('',(#1946),#828,.F.); #25550=ADVANCED_FACE('',(#1947),#829,.F.); #25551=ADVANCED_FACE('',(#1948),#24668,.F.); #25552=ADVANCED_FACE('',(#1949),#830,.F.); #25553=ADVANCED_FACE('',(#1950),#831,.F.); #25554=ADVANCED_FACE('',(#1951),#832,.F.); #25555=ADVANCED_FACE('',(#1952),#833,.F.); #25556=ADVANCED_FACE('',(#1953),#834,.F.); #25557=ADVANCED_FACE('',(#1954),#835,.F.); #25558=ADVANCED_FACE('',(#1955),#836,.F.); #25559=ADVANCED_FACE('',(#1956),#24669,.F.); #25560=ADVANCED_FACE('',(#1957),#837,.F.); #25561=ADVANCED_FACE('',(#1958),#838,.F.); #25562=ADVANCED_FACE('',(#1959),#839,.F.); #25563=ADVANCED_FACE('',(#1960),#840,.F.); #25564=ADVANCED_FACE('',(#1961),#841,.F.); #25565=ADVANCED_FACE('',(#1962),#24670,.F.); #25566=ADVANCED_FACE('',(#1963),#842,.F.); #25567=ADVANCED_FACE('',(#1964),#843,.F.); #25568=ADVANCED_FACE('',(#1965),#844,.F.); #25569=ADVANCED_FACE('',(#1966),#845,.F.); #25570=ADVANCED_FACE('',(#1967),#24671,.F.); #25571=ADVANCED_FACE('',(#1968),#846,.F.); #25572=ADVANCED_FACE('',(#1969),#847,.F.); #25573=ADVANCED_FACE('',(#1970),#848,.F.); #25574=ADVANCED_FACE('',(#1971),#849,.F.); #25575=ADVANCED_FACE('',(#1972),#850,.F.); #25576=ADVANCED_FACE('',(#1973),#851,.F.); #25577=ADVANCED_FACE('',(#1974),#852,.F.); #25578=ADVANCED_FACE('',(#1975),#853,.F.); #25579=ADVANCED_FACE('',(#1976),#854,.F.); #25580=ADVANCED_FACE('',(#1977),#855,.F.); #25581=ADVANCED_FACE('',(#1978),#24672,.F.); #25582=ADVANCED_FACE('',(#1979),#856,.F.); #25583=ADVANCED_FACE('',(#1980),#857,.F.); #25584=ADVANCED_FACE('',(#1981),#858,.F.); #25585=ADVANCED_FACE('',(#1982),#859,.F.); #25586=ADVANCED_FACE('',(#1983),#860,.F.); #25587=ADVANCED_FACE('',(#1984),#861,.F.); #25588=ADVANCED_FACE('',(#1985),#862,.F.); #25589=ADVANCED_FACE('',(#1986),#863,.F.); #25590=ADVANCED_FACE('',(#1987),#864,.F.); #25591=ADVANCED_FACE('',(#1988),#24673,.F.); #25592=ADVANCED_FACE('',(#1989),#865,.F.); #25593=ADVANCED_FACE('',(#1990),#866,.T.); #25594=ADVANCED_FACE('',(#1991),#867,.F.); #25595=ADVANCED_FACE('',(#1992),#868,.F.); #25596=ADVANCED_FACE('',(#1993),#24674,.F.); #25597=ADVANCED_FACE('',(#1994),#869,.F.); #25598=ADVANCED_FACE('',(#1995),#870,.F.); #25599=ADVANCED_FACE('',(#1996),#871,.F.); #25600=ADVANCED_FACE('',(#1997),#872,.F.); #25601=ADVANCED_FACE('',(#1998),#873,.F.); #25602=ADVANCED_FACE('',(#1999),#874,.F.); #25603=ADVANCED_FACE('',(#2000),#875,.F.); #25604=ADVANCED_FACE('',(#2001),#876,.F.); #25605=ADVANCED_FACE('',(#2002),#877,.F.); #25606=ADVANCED_FACE('',(#2003),#878,.F.); #25607=ADVANCED_FACE('',(#2004),#879,.F.); #25608=ADVANCED_FACE('',(#2005),#880,.F.); #25609=ADVANCED_FACE('',(#2006),#881,.F.); #25610=ADVANCED_FACE('',(#2007),#882,.F.); #25611=ADVANCED_FACE('',(#2008),#883,.F.); #25612=ADVANCED_FACE('',(#2009),#884,.F.); #25613=ADVANCED_FACE('',(#2010),#885,.F.); #25614=ADVANCED_FACE('',(#2011),#24675,.F.); #25615=ADVANCED_FACE('',(#2012),#886,.F.); #25616=ADVANCED_FACE('',(#2013),#887,.F.); #25617=ADVANCED_FACE('',(#2014),#888,.F.); #25618=ADVANCED_FACE('',(#2015),#889,.F.); #25619=ADVANCED_FACE('',(#2016),#890,.F.); #25620=ADVANCED_FACE('',(#2017),#891,.F.); #25621=ADVANCED_FACE('',(#2018),#24676,.F.); #25622=ADVANCED_FACE('',(#2019),#892,.F.); #25623=ADVANCED_FACE('',(#2020),#893,.F.); #25624=ADVANCED_FACE('',(#2021),#894,.F.); #25625=ADVANCED_FACE('',(#2022),#895,.F.); #25626=ADVANCED_FACE('',(#2023),#896,.F.); #25627=ADVANCED_FACE('',(#2024),#897,.F.); #25628=ADVANCED_FACE('',(#2025),#898,.F.); #25629=ADVANCED_FACE('',(#2026),#899,.F.); #25630=ADVANCED_FACE('',(#2027),#900,.F.); #25631=ADVANCED_FACE('',(#2028),#901,.F.); #25632=ADVANCED_FACE('',(#2029),#902,.F.); #25633=ADVANCED_FACE('',(#2030),#903,.F.); #25634=ADVANCED_FACE('',(#2031),#904,.F.); #25635=ADVANCED_FACE('',(#2032),#905,.F.); #25636=ADVANCED_FACE('',(#2033),#906,.F.); #25637=ADVANCED_FACE('',(#2034),#907,.F.); #25638=ADVANCED_FACE('',(#2035),#24677,.F.); #25639=ADVANCED_FACE('',(#2036),#908,.F.); #25640=ADVANCED_FACE('',(#2037),#909,.F.); #25641=ADVANCED_FACE('',(#2038),#910,.F.); #25642=ADVANCED_FACE('',(#2039),#911,.F.); #25643=ADVANCED_FACE('',(#2040),#912,.F.); #25644=ADVANCED_FACE('',(#2041),#913,.F.); #25645=ADVANCED_FACE('',(#2042),#24678,.F.); #25646=ADVANCED_FACE('',(#2043),#24679,.F.); #25647=ADVANCED_FACE('',(#2044),#914,.F.); #25648=ADVANCED_FACE('',(#2045),#915,.T.); #25649=ADVANCED_FACE('',(#2046),#916,.F.); #25650=ADVANCED_FACE('',(#2047),#917,.F.); #25651=ADVANCED_FACE('',(#2048),#918,.F.); #25652=ADVANCED_FACE('',(#2049),#24680,.F.); #25653=ADVANCED_FACE('',(#2050),#919,.F.); #25654=ADVANCED_FACE('',(#2051),#920,.F.); #25655=ADVANCED_FACE('',(#2052),#24681,.F.); #25656=ADVANCED_FACE('',(#2053),#921,.F.); #25657=ADVANCED_FACE('',(#2054),#922,.F.); #25658=ADVANCED_FACE('',(#2055),#24682,.F.); #25659=ADVANCED_FACE('',(#2056),#24683,.F.); #25660=ADVANCED_FACE('',(#2057),#923,.F.); #25661=ADVANCED_FACE('',(#2058),#924,.F.); #25662=ADVANCED_FACE('',(#2059),#24684,.F.); #25663=ADVANCED_FACE('',(#2060),#24685,.F.); #25664=ADVANCED_FACE('',(#2061),#925,.F.); #25665=ADVANCED_FACE('',(#2062),#926,.F.); #25666=ADVANCED_FACE('',(#2063),#927,.F.); #25667=ADVANCED_FACE('',(#2064),#928,.F.); #25668=ADVANCED_FACE('',(#2065),#24686,.F.); #25669=ADVANCED_FACE('',(#2066),#24687,.F.); #25670=ADVANCED_FACE('',(#2067),#929,.F.); #25671=ADVANCED_FACE('',(#2068),#930,.F.); #25672=ADVANCED_FACE('',(#2069),#24688,.F.); #25673=ADVANCED_FACE('',(#2070),#931,.F.); #25674=ADVANCED_FACE('',(#2071),#24689,.T.); #25675=ADVANCED_FACE('',(#2072),#24690,.T.); #25676=ADVANCED_FACE('',(#2073),#932,.F.); #25677=ADVANCED_FACE('',(#2074),#933,.F.); #25678=ADVANCED_FACE('',(#2075),#24691,.F.); #25679=ADVANCED_FACE('',(#2076),#24692,.F.); #25680=ADVANCED_FACE('',(#2077),#24693,.F.); #25681=ADVANCED_FACE('',(#2078),#934,.F.); #25682=ADVANCED_FACE('',(#2079),#24694,.T.); #25683=ADVANCED_FACE('',(#2080),#935,.F.); #25684=ADVANCED_FACE('',(#2081),#936,.F.); #25685=ADVANCED_FACE('',(#2082),#937,.F.); #25686=ADVANCED_FACE('',(#2083),#24695,.T.); #25687=ADVANCED_FACE('',(#2084),#938,.F.); #25688=ADVANCED_FACE('',(#2085),#939,.F.); #25689=ADVANCED_FACE('',(#2086),#940,.F.); #25690=ADVANCED_FACE('',(#2087),#24696,.F.); #25691=ADVANCED_FACE('',(#2088),#941,.T.); #25692=ADVANCED_FACE('',(#2089),#24697,.T.); #25693=ADVANCED_FACE('',(#2090),#24698,.T.); #25694=ADVANCED_FACE('',(#2091),#24699,.T.); #25695=ADVANCED_FACE('',(#2092),#24700,.T.); #25696=ADVANCED_FACE('',(#2093),#942,.F.); #25697=ADVANCED_FACE('',(#2094),#24701,.T.); #25698=ADVANCED_FACE('',(#2095),#24702,.T.); #25699=ADVANCED_FACE('',(#2096),#24703,.T.); #25700=ADVANCED_FACE('',(#2097),#24704,.T.); #25701=ADVANCED_FACE('',(#2098),#24705,.F.); #25702=ADVANCED_FACE('',(#2099),#24706,.F.); #25703=ADVANCED_FACE('',(#2100),#24707,.F.); #25704=ADVANCED_FACE('',(#2101),#24708,.F.); #25705=ADVANCED_FACE('',(#2102),#943,.F.); #25706=ADVANCED_FACE('',(#2103),#944,.F.); #25707=ADVANCED_FACE('',(#2104),#24709,.F.); #25708=ADVANCED_FACE('',(#2105),#24710,.F.); #25709=ADVANCED_FACE('',(#2106),#24711,.F.); #25710=ADVANCED_FACE('',(#2107),#24712,.F.); #25711=ADVANCED_FACE('',(#2108),#24713,.F.); #25712=ADVANCED_FACE('',(#2109,#26),#945,.T.); #25713=ADVANCED_FACE('',(#2110),#946,.F.); #25714=ADVANCED_FACE('',(#2111),#24714,.F.); #25715=ADVANCED_FACE('',(#2112),#24715,.F.); #25716=ADVANCED_FACE('',(#2113),#947,.F.); #25717=ADVANCED_FACE('',(#2114),#24716,.F.); #25718=ADVANCED_FACE('',(#2115),#24717,.F.); #25719=ADVANCED_FACE('',(#2116),#948,.F.); #25720=ADVANCED_FACE('',(#2117),#24718,.T.); #25721=ADVANCED_FACE('',(#2118),#949,.F.); #25722=ADVANCED_FACE('',(#2119),#950,.F.); #25723=ADVANCED_FACE('',(#2120),#951,.F.); #25724=ADVANCED_FACE('',(#2121),#24719,.F.); #25725=ADVANCED_FACE('',(#2122),#952,.F.); #25726=ADVANCED_FACE('',(#2123),#953,.F.); #25727=ADVANCED_FACE('',(#2124),#954,.F.); #25728=ADVANCED_FACE('',(#2125),#955,.F.); #25729=ADVANCED_FACE('',(#2126),#24720,.F.); #25730=ADVANCED_FACE('',(#2127),#956,.F.); #25731=ADVANCED_FACE('',(#2128),#24721,.F.); #25732=ADVANCED_FACE('',(#2129),#24722,.F.); #25733=ADVANCED_FACE('',(#2130),#957,.F.); #25734=ADVANCED_FACE('',(#2131),#958,.F.); #25735=ADVANCED_FACE('',(#2132),#959,.F.); #25736=ADVANCED_FACE('',(#2133),#960,.F.); #25737=ADVANCED_FACE('',(#2134),#961,.F.); #25738=ADVANCED_FACE('',(#2135),#24723,.F.); #25739=ADVANCED_FACE('',(#2136),#24724,.F.); #25740=ADVANCED_FACE('',(#2137),#24725,.F.); #25741=ADVANCED_FACE('',(#2138),#962,.F.); #25742=ADVANCED_FACE('',(#2139),#24726,.T.); #25743=ADVANCED_FACE('',(#2140),#24727,.T.); #25744=ADVANCED_FACE('',(#2141),#24728,.T.); #25745=ADVANCED_FACE('',(#2142),#963,.F.); #25746=ADVANCED_FACE('',(#2143),#24729,.F.); #25747=ADVANCED_FACE('',(#2144),#964,.F.); #25748=ADVANCED_FACE('',(#2145),#965,.F.); #25749=ADVANCED_FACE('',(#2146),#966,.F.); #25750=ADVANCED_FACE('',(#2147),#967,.F.); #25751=ADVANCED_FACE('',(#2148),#968,.F.); #25752=ADVANCED_FACE('',(#2149),#969,.F.); #25753=ADVANCED_FACE('',(#2150),#970,.F.); #25754=ADVANCED_FACE('',(#2151),#24730,.F.); #25755=ADVANCED_FACE('',(#2152),#971,.F.); #25756=ADVANCED_FACE('',(#2153),#972,.F.); #25757=ADVANCED_FACE('',(#2154),#973,.F.); #25758=ADVANCED_FACE('',(#2155),#24731,.F.); #25759=ADVANCED_FACE('',(#2156),#974,.T.); #25760=ADVANCED_FACE('',(#2157),#975,.F.); #25761=ADVANCED_FACE('',(#2158),#976,.F.); #25762=ADVANCED_FACE('',(#2159),#977,.F.); #25763=ADVANCED_FACE('',(#2160),#978,.F.); #25764=ADVANCED_FACE('',(#2161),#979,.F.); #25765=ADVANCED_FACE('',(#2162),#980,.F.); #25766=ADVANCED_FACE('',(#2163),#981,.F.); #25767=ADVANCED_FACE('',(#2164),#982,.F.); #25768=ADVANCED_FACE('',(#2165),#983,.F.); #25769=ADVANCED_FACE('',(#2166),#24732,.F.); #25770=ADVANCED_FACE('',(#2167),#984,.F.); #25771=ADVANCED_FACE('',(#2168),#985,.F.); #25772=ADVANCED_FACE('',(#2169),#986,.F.); #25773=ADVANCED_FACE('',(#2170),#987,.F.); #25774=ADVANCED_FACE('',(#2171),#24733,.F.); #25775=ADVANCED_FACE('',(#2172),#988,.F.); #25776=ADVANCED_FACE('',(#2173),#989,.F.); #25777=ADVANCED_FACE('',(#2174),#990,.F.); #25778=ADVANCED_FACE('',(#2175),#24734,.F.); #25779=ADVANCED_FACE('',(#2176),#991,.F.); #25780=ADVANCED_FACE('',(#2177),#992,.F.); #25781=ADVANCED_FACE('',(#2178),#993,.F.); #25782=ADVANCED_FACE('',(#2179),#994,.F.); #25783=ADVANCED_FACE('',(#2180),#24735,.F.); #25784=ADVANCED_FACE('',(#2181),#995,.T.); #25785=ADVANCED_FACE('',(#2182),#24736,.T.); #25786=ADVANCED_FACE('',(#2183),#24737,.T.); #25787=ADVANCED_FACE('',(#2184),#24738,.T.); #25788=ADVANCED_FACE('',(#2185),#24739,.T.); #25789=ADVANCED_FACE('',(#2186),#24740,.T.); #25790=ADVANCED_FACE('',(#2187),#996,.F.); #25791=ADVANCED_FACE('',(#2188),#997,.F.); #25792=ADVANCED_FACE('',(#2189),#998,.F.); #25793=ADVANCED_FACE('',(#2190),#999,.F.); #25794=ADVANCED_FACE('',(#2191),#1000,.F.); #25795=ADVANCED_FACE('',(#2192),#1001,.F.); #25796=ADVANCED_FACE('',(#2193),#1002,.F.); #25797=ADVANCED_FACE('',(#2194),#1003,.F.); #25798=ADVANCED_FACE('',(#2195),#1004,.F.); #25799=ADVANCED_FACE('',(#2196),#1005,.F.); #25800=ADVANCED_FACE('',(#2197),#1006,.F.); #25801=ADVANCED_FACE('',(#2198),#24741,.F.); #25802=ADVANCED_FACE('',(#2199),#1007,.F.); #25803=ADVANCED_FACE('',(#2200),#24742,.F.); #25804=ADVANCED_FACE('',(#2201),#24743,.F.); #25805=ADVANCED_FACE('',(#2202),#1008,.F.); #25806=ADVANCED_FACE('',(#2203),#24744,.T.); #25807=ADVANCED_FACE('',(#2204),#24745,.T.); #25808=ADVANCED_FACE('',(#2205),#24746,.T.); #25809=ADVANCED_FACE('',(#2206),#1009,.F.); #25810=ADVANCED_FACE('',(#2207),#1010,.F.); #25811=ADVANCED_FACE('',(#2208),#1011,.F.); #25812=ADVANCED_FACE('',(#2209),#1012,.F.); #25813=ADVANCED_FACE('',(#2210),#1013,.F.); #25814=ADVANCED_FACE('',(#2211),#1014,.F.); #25815=ADVANCED_FACE('',(#2212),#1015,.F.); #25816=ADVANCED_FACE('',(#2213),#1016,.F.); #25817=ADVANCED_FACE('',(#2214),#24747,.F.); #25818=ADVANCED_FACE('',(#2215),#24748,.F.); #25819=ADVANCED_FACE('',(#2216),#24749,.F.); #25820=ADVANCED_FACE('',(#2217),#24750,.F.); #25821=ADVANCED_FACE('',(#2218),#24751,.F.); #25822=ADVANCED_FACE('',(#2219),#1017,.F.); #25823=ADVANCED_FACE('',(#2220),#24752,.F.); #25824=ADVANCED_FACE('',(#2221),#24753,.F.); #25825=ADVANCED_FACE('',(#2222,#27),#1018,.T.); #25826=ADVANCED_FACE('',(#2223),#1019,.F.); #25827=ADVANCED_FACE('',(#2224),#1020,.F.); #25828=ADVANCED_FACE('',(#2225),#1021,.F.); #25829=ADVANCED_FACE('',(#2226),#1022,.F.); #25830=ADVANCED_FACE('',(#2227),#1023,.F.); #25831=ADVANCED_FACE('',(#2228),#1024,.F.); #25832=ADVANCED_FACE('',(#2229),#1025,.F.); #25833=ADVANCED_FACE('',(#2230),#1026,.F.); #25834=ADVANCED_FACE('',(#2231),#1027,.F.); #25835=ADVANCED_FACE('',(#2232),#1028,.F.); #25836=ADVANCED_FACE('',(#2233),#24754,.F.); #25837=ADVANCED_FACE('',(#2234),#1029,.F.); #25838=ADVANCED_FACE('',(#2235),#1030,.F.); #25839=ADVANCED_FACE('',(#2236),#1031,.F.); #25840=ADVANCED_FACE('',(#2237),#24755,.F.); #25841=ADVANCED_FACE('',(#2238),#1032,.F.); #25842=ADVANCED_FACE('',(#2239),#1033,.F.); #25843=ADVANCED_FACE('',(#2240),#1034,.F.); #25844=ADVANCED_FACE('',(#2241),#1035,.F.); #25845=ADVANCED_FACE('',(#2242),#1036,.F.); #25846=ADVANCED_FACE('',(#2243),#1037,.F.); #25847=ADVANCED_FACE('',(#2244),#1038,.F.); #25848=ADVANCED_FACE('',(#2245),#1039,.F.); #25849=ADVANCED_FACE('',(#2246),#1040,.F.); #25850=ADVANCED_FACE('',(#2247),#1041,.F.); #25851=ADVANCED_FACE('',(#2248),#1042,.F.); #25852=ADVANCED_FACE('',(#2249),#24756,.F.); #25853=ADVANCED_FACE('',(#2250),#1043,.F.); #25854=ADVANCED_FACE('',(#2251),#1044,.F.); #25855=ADVANCED_FACE('',(#2252),#1045,.F.); #25856=ADVANCED_FACE('',(#2253),#1046,.F.); #25857=ADVANCED_FACE('',(#2254),#24757,.F.); #25858=ADVANCED_FACE('',(#2255),#1047,.F.); #25859=ADVANCED_FACE('',(#2256),#1048,.F.); #25860=ADVANCED_FACE('',(#2257),#1049,.F.); #25861=ADVANCED_FACE('',(#2258),#1050,.F.); #25862=ADVANCED_FACE('',(#2259),#1051,.F.); #25863=ADVANCED_FACE('',(#2260),#1052,.T.); #25864=ADVANCED_FACE('',(#2261),#1053,.F.); #25865=ADVANCED_FACE('',(#2262),#1054,.F.); #25866=ADVANCED_FACE('',(#2263),#1055,.F.); #25867=ADVANCED_FACE('',(#2264),#1056,.F.); #25868=ADVANCED_FACE('',(#2265),#24758,.F.); #25869=ADVANCED_FACE('',(#2266),#1057,.F.); #25870=ADVANCED_FACE('',(#2267),#1058,.F.); #25871=ADVANCED_FACE('',(#2268),#1059,.F.); #25872=ADVANCED_FACE('',(#2269),#24759,.F.); #25873=ADVANCED_FACE('',(#2270),#1060,.F.); #25874=ADVANCED_FACE('',(#2271),#1061,.F.); #25875=ADVANCED_FACE('',(#2272),#1062,.F.); #25876=ADVANCED_FACE('',(#2273),#1063,.F.); #25877=ADVANCED_FACE('',(#2274),#1064,.F.); #25878=ADVANCED_FACE('',(#2275),#1065,.F.); #25879=ADVANCED_FACE('',(#2276),#1066,.F.); #25880=ADVANCED_FACE('',(#2277),#24760,.F.); #25881=ADVANCED_FACE('',(#2278),#1067,.F.); #25882=ADVANCED_FACE('',(#2279),#1068,.F.); #25883=ADVANCED_FACE('',(#2280),#1069,.F.); #25884=ADVANCED_FACE('',(#2281),#1070,.F.); #25885=ADVANCED_FACE('',(#2282),#1071,.F.); #25886=ADVANCED_FACE('',(#2283),#24761,.F.); #25887=ADVANCED_FACE('',(#2284),#1072,.F.); #25888=ADVANCED_FACE('',(#2285),#1073,.F.); #25889=ADVANCED_FACE('',(#2286),#1074,.F.); #25890=ADVANCED_FACE('',(#2287),#1075,.F.); #25891=ADVANCED_FACE('',(#2288),#24762,.F.); #25892=ADVANCED_FACE('',(#2289),#1076,.F.); #25893=ADVANCED_FACE('',(#2290),#1077,.F.); #25894=ADVANCED_FACE('',(#2291),#1078,.F.); #25895=ADVANCED_FACE('',(#2292),#1079,.F.); #25896=ADVANCED_FACE('',(#2293),#1080,.F.); #25897=ADVANCED_FACE('',(#2294),#1081,.F.); #25898=ADVANCED_FACE('',(#2295),#1082,.F.); #25899=ADVANCED_FACE('',(#2296),#1083,.F.); #25900=ADVANCED_FACE('',(#2297),#1084,.F.); #25901=ADVANCED_FACE('',(#2298),#1085,.F.); #25902=ADVANCED_FACE('',(#2299),#24763,.F.); #25903=ADVANCED_FACE('',(#2300),#1086,.F.); #25904=ADVANCED_FACE('',(#2301),#1087,.F.); #25905=ADVANCED_FACE('',(#2302),#1088,.F.); #25906=ADVANCED_FACE('',(#2303),#1089,.F.); #25907=ADVANCED_FACE('',(#2304),#1090,.F.); #25908=ADVANCED_FACE('',(#2305),#1091,.T.); #25909=ADVANCED_FACE('',(#2306),#1092,.F.); #25910=ADVANCED_FACE('',(#2307),#24764,.F.); #25911=ADVANCED_FACE('',(#2308),#1093,.F.); #25912=ADVANCED_FACE('',(#2309),#1094,.F.); #25913=ADVANCED_FACE('',(#2310),#1095,.F.); #25914=ADVANCED_FACE('',(#2311),#1096,.F.); #25915=ADVANCED_FACE('',(#2312),#1097,.F.); #25916=ADVANCED_FACE('',(#2313),#1098,.F.); #25917=ADVANCED_FACE('',(#2314),#24765,.T.); #25918=ADVANCED_FACE('',(#2315),#24766,.T.); #25919=ADVANCED_FACE('',(#2316),#24767,.T.); #25920=ADVANCED_FACE('',(#2317),#24768,.T.); #25921=ADVANCED_FACE('',(#2318),#24769,.T.); #25922=ADVANCED_FACE('',(#2319),#24770,.T.); #25923=ADVANCED_FACE('',(#2320),#1099,.F.); #25924=ADVANCED_FACE('',(#2321),#1100,.F.); #25925=ADVANCED_FACE('',(#2322),#1101,.F.); #25926=ADVANCED_FACE('',(#2323),#1102,.F.); #25927=ADVANCED_FACE('',(#2324),#1103,.F.); #25928=ADVANCED_FACE('',(#2325),#24771,.F.); #25929=ADVANCED_FACE('',(#2326),#1104,.F.); #25930=ADVANCED_FACE('',(#2327),#1105,.F.); #25931=ADVANCED_FACE('',(#2328),#1106,.F.); #25932=ADVANCED_FACE('',(#2329),#1107,.F.); #25933=ADVANCED_FACE('',(#2330),#1108,.F.); #25934=ADVANCED_FACE('',(#2331),#1109,.F.); #25935=ADVANCED_FACE('',(#2332),#1110,.F.); #25936=ADVANCED_FACE('',(#2333),#1111,.F.); #25937=ADVANCED_FACE('',(#2334),#1112,.F.); #25938=ADVANCED_FACE('',(#2335),#1113,.F.); #25939=ADVANCED_FACE('',(#2336),#1114,.F.); #25940=ADVANCED_FACE('',(#2337),#1115,.F.); #25941=ADVANCED_FACE('',(#2338),#1116,.F.); #25942=ADVANCED_FACE('',(#2339),#1117,.F.); #25943=ADVANCED_FACE('',(#2340),#24772,.F.); #25944=ADVANCED_FACE('',(#2341),#1118,.F.); #25945=ADVANCED_FACE('',(#2342),#1119,.F.); #25946=ADVANCED_FACE('',(#2343),#1120,.F.); #25947=ADVANCED_FACE('',(#2344),#1121,.F.); #25948=ADVANCED_FACE('',(#2345),#1122,.F.); #25949=ADVANCED_FACE('',(#2346),#1123,.F.); #25950=ADVANCED_FACE('',(#2347),#1124,.F.); #25951=ADVANCED_FACE('',(#2348),#1125,.F.); #25952=ADVANCED_FACE('',(#2349),#1126,.F.); #25953=ADVANCED_FACE('',(#2350),#1127,.F.); #25954=ADVANCED_FACE('',(#2351),#1128,.F.); #25955=ADVANCED_FACE('',(#2352),#24773,.F.); #25956=ADVANCED_FACE('',(#2353),#24774,.F.); #25957=ADVANCED_FACE('',(#2354),#24775,.F.); #25958=ADVANCED_FACE('',(#2355),#24776,.F.); #25959=ADVANCED_FACE('',(#2356),#24777,.F.); #25960=ADVANCED_FACE('',(#2357),#24778,.F.); #25961=ADVANCED_FACE('',(#2358),#1129,.F.); #25962=ADVANCED_FACE('',(#2359),#1130,.F.); #25963=ADVANCED_FACE('',(#2360),#1131,.T.); #25964=ADVANCED_FACE('',(#2361),#1132,.F.); #25965=ADVANCED_FACE('',(#2362),#1133,.F.); #25966=ADVANCED_FACE('',(#2363),#1134,.F.); #25967=ADVANCED_FACE('',(#2364),#24779,.F.); #25968=ADVANCED_FACE('',(#2365),#1135,.F.); #25969=ADVANCED_FACE('',(#2366),#24780,.T.); #25970=ADVANCED_FACE('',(#2367),#1136,.F.); #25971=ADVANCED_FACE('',(#2368),#1137,.F.); #25972=ADVANCED_FACE('',(#2369),#1138,.F.); #25973=ADVANCED_FACE('',(#2370),#1139,.F.); #25974=ADVANCED_FACE('',(#2371),#1140,.F.); #25975=ADVANCED_FACE('',(#2372),#1141,.T.); #25976=ADVANCED_FACE('',(#2373),#24781,.T.); #25977=ADVANCED_FACE('',(#2374),#24782,.T.); #25978=ADVANCED_FACE('',(#2375),#24783,.T.); #25979=ADVANCED_FACE('',(#2376),#24784,.T.); #25980=ADVANCED_FACE('',(#2377),#24785,.T.); #25981=ADVANCED_FACE('',(#2378),#24786,.T.); #25982=ADVANCED_FACE('',(#2379),#1142,.F.); #25983=ADVANCED_FACE('',(#2380),#24787,.T.); #25984=ADVANCED_FACE('',(#2381),#24788,.T.); #25985=ADVANCED_FACE('',(#2382),#24789,.T.); #25986=ADVANCED_FACE('',(#2383),#1143,.F.); #25987=ADVANCED_FACE('',(#2384),#1144,.F.); #25988=ADVANCED_FACE('',(#2385),#1145,.F.); #25989=ADVANCED_FACE('',(#2386),#1146,.F.); #25990=ADVANCED_FACE('',(#2387),#24790,.F.); #25991=ADVANCED_FACE('',(#2388),#1147,.F.); #25992=ADVANCED_FACE('',(#2389),#1148,.F.); #25993=ADVANCED_FACE('',(#2390),#1149,.F.); #25994=ADVANCED_FACE('',(#2391),#1150,.F.); #25995=ADVANCED_FACE('',(#2392),#1151,.F.); #25996=ADVANCED_FACE('',(#2393),#1152,.F.); #25997=ADVANCED_FACE('',(#2394),#1153,.F.); #25998=ADVANCED_FACE('',(#2395),#1154,.F.); #25999=ADVANCED_FACE('',(#2396),#1155,.F.); #26000=ADVANCED_FACE('',(#2397),#1156,.F.); #26001=ADVANCED_FACE('',(#2398),#1157,.F.); #26002=ADVANCED_FACE('',(#2399),#1158,.F.); #26003=ADVANCED_FACE('',(#2400),#1159,.F.); #26004=ADVANCED_FACE('',(#2401),#1160,.F.); #26005=ADVANCED_FACE('',(#2402),#1161,.F.); #26006=ADVANCED_FACE('',(#2403),#1162,.F.); #26007=ADVANCED_FACE('',(#2404),#24791,.F.); #26008=ADVANCED_FACE('',(#2405),#1163,.F.); #26009=ADVANCED_FACE('',(#2406),#24792,.F.); #26010=ADVANCED_FACE('',(#2407),#24793,.T.); #26011=ADVANCED_FACE('',(#2408),#1164,.F.); #26012=ADVANCED_FACE('',(#2409),#24794,.F.); #26013=ADVANCED_FACE('',(#2410),#24795,.F.); #26014=ADVANCED_FACE('',(#2411),#24796,.F.); #26015=ADVANCED_FACE('',(#2412),#24797,.F.); #26016=ADVANCED_FACE('',(#2413),#24798,.F.); #26017=ADVANCED_FACE('',(#2414),#24799,.F.); #26018=ADVANCED_FACE('',(#2415),#24800,.F.); #26019=ADVANCED_FACE('',(#2416),#24801,.F.); #26020=ADVANCED_FACE('',(#2417),#24802,.T.); #26021=ADVANCED_FACE('',(#2418),#1165,.F.); #26022=ADVANCED_FACE('',(#2419),#24803,.F.); #26023=ADVANCED_FACE('',(#2420,#28),#1166,.T.); #26024=ADVANCED_FACE('',(#2421),#1167,.F.); #26025=ADVANCED_FACE('',(#2422),#24804,.F.); #26026=ADVANCED_FACE('',(#2423),#1168,.F.); #26027=ADVANCED_FACE('',(#2424),#1169,.F.); #26028=ADVANCED_FACE('',(#2425),#24805,.F.); #26029=ADVANCED_FACE('',(#2426),#1170,.F.); #26030=ADVANCED_FACE('',(#2427),#1171,.F.); #26031=ADVANCED_FACE('',(#2428),#24806,.F.); #26032=ADVANCED_FACE('',(#2429),#24807,.F.); #26033=ADVANCED_FACE('',(#2430),#24808,.F.); #26034=ADVANCED_FACE('',(#2431),#24809,.F.); #26035=ADVANCED_FACE('',(#2432),#24810,.F.); #26036=ADVANCED_FACE('',(#2433),#24811,.F.); #26037=ADVANCED_FACE('',(#2434),#1172,.F.); #26038=ADVANCED_FACE('',(#2435),#24812,.T.); #26039=ADVANCED_FACE('',(#2436),#24813,.T.); #26040=ADVANCED_FACE('',(#2437),#24814,.T.); #26041=ADVANCED_FACE('',(#2438),#24815,.T.); #26042=ADVANCED_FACE('',(#2439),#24816,.T.); #26043=ADVANCED_FACE('',(#2440),#1173,.F.); #26044=ADVANCED_FACE('',(#2441),#1174,.F.); #26045=ADVANCED_FACE('',(#2442),#1175,.F.); #26046=ADVANCED_FACE('',(#2443),#1176,.F.); #26047=ADVANCED_FACE('',(#2444),#1177,.F.); #26048=ADVANCED_FACE('',(#2445),#1178,.F.); #26049=ADVANCED_FACE('',(#2446),#1179,.F.); #26050=ADVANCED_FACE('',(#2447),#24817,.F.); #26051=ADVANCED_FACE('',(#2448),#1180,.F.); #26052=ADVANCED_FACE('',(#2449),#24818,.F.); #26053=ADVANCED_FACE('',(#2450),#1181,.F.); #26054=ADVANCED_FACE('',(#2451),#1182,.F.); #26055=ADVANCED_FACE('',(#2452),#24819,.F.); #26056=ADVANCED_FACE('',(#2453),#24820,.F.); #26057=ADVANCED_FACE('',(#2454),#24821,.F.); #26058=ADVANCED_FACE('',(#2455),#24822,.F.); #26059=ADVANCED_FACE('',(#2456),#24823,.F.); #26060=ADVANCED_FACE('',(#2457),#24824,.F.); #26061=ADVANCED_FACE('',(#2458),#1183,.F.); #26062=ADVANCED_FACE('',(#2459),#24825,.T.); #26063=ADVANCED_FACE('',(#2460),#24826,.T.); #26064=ADVANCED_FACE('',(#2461),#24827,.T.); #26065=ADVANCED_FACE('',(#2462),#24828,.T.); #26066=ADVANCED_FACE('',(#2463),#24829,.T.); #26067=ADVANCED_FACE('',(#2464),#1184,.T.); #26068=ADVANCED_FACE('',(#2465),#1185,.T.); #26069=ADVANCED_FACE('',(#2466),#1186,.T.); #26070=ADVANCED_FACE('',(#2467,#29,#30,#31,#32,#33,#34,#35,#36,#37,#38, #39,#40,#41,#42,#43,#44,#45,#46,#47,#48,#49,#50,#51,#52,#53,#54,#55,#56, #57,#58,#59,#60),#1187,.T.); #26071=ADVANCED_FACE('',(#2468),#1188,.T.); #26072=ADVANCED_FACE('',(#2469),#1189,.T.); #26073=ADVANCED_FACE('',(#2470),#24830,.F.); #26074=ADVANCED_FACE('',(#2471),#24831,.F.); #26075=ADVANCED_FACE('',(#2472),#24832,.F.); #26076=ADVANCED_FACE('',(#2473),#24833,.F.); #26077=ADVANCED_FACE('',(#2474),#24834,.F.); #26078=ADVANCED_FACE('',(#2475),#24835,.F.); #26079=ADVANCED_FACE('',(#2476),#24836,.F.); #26080=ADVANCED_FACE('',(#2477),#24837,.F.); #26081=ADVANCED_FACE('',(#2478),#24838,.F.); #26082=ADVANCED_FACE('',(#2479),#24839,.F.); #26083=ADVANCED_FACE('',(#2480),#24840,.F.); #26084=ADVANCED_FACE('',(#2481),#24841,.F.); #26085=ADVANCED_FACE('',(#2482),#24842,.F.); #26086=ADVANCED_FACE('',(#2483),#24843,.F.); #26087=ADVANCED_FACE('',(#2484),#1190,.F.); #26088=ADVANCED_FACE('',(#2485),#1191,.F.); #26089=ADVANCED_FACE('',(#2486),#1192,.F.); #26090=ADVANCED_FACE('',(#2487),#1193,.F.); #26091=ADVANCED_FACE('',(#2488),#1194,.F.); #26092=ADVANCED_FACE('',(#2489),#1195,.F.); #26093=ADVANCED_FACE('',(#2490),#1196,.T.); #26094=ADVANCED_FACE('',(#2491),#1197,.T.); #26095=ADVANCED_FACE('',(#2492),#1198,.F.); #26096=ADVANCED_FACE('',(#2493),#1199,.F.); #26097=ADVANCED_FACE('',(#2494),#1200,.F.); #26098=ADVANCED_FACE('',(#2495),#1201,.T.); #26099=ADVANCED_FACE('',(#2496),#1202,.F.); #26100=ADVANCED_FACE('',(#2497),#1203,.F.); #26101=ADVANCED_FACE('',(#2498),#1204,.F.); #26102=ADVANCED_FACE('',(#2499),#1205,.T.); #26103=ADVANCED_FACE('',(#2500),#1206,.T.); #26104=ADVANCED_FACE('',(#2501),#1207,.F.); #26105=ADVANCED_FACE('',(#2502),#1208,.F.); #26106=ADVANCED_FACE('',(#2503),#1209,.F.); #26107=ADVANCED_FACE('',(#2504),#1210,.T.); #26108=ADVANCED_FACE('',(#2505),#1211,.F.); #26109=ADVANCED_FACE('',(#2506),#1212,.F.); #26110=ADVANCED_FACE('',(#2507),#1213,.F.); #26111=ADVANCED_FACE('',(#2508),#1214,.T.); #26112=ADVANCED_FACE('',(#2509),#1215,.T.); #26113=ADVANCED_FACE('',(#2510),#1216,.F.); #26114=ADVANCED_FACE('',(#2511),#1217,.F.); #26115=ADVANCED_FACE('',(#2512),#1218,.F.); #26116=ADVANCED_FACE('',(#2513),#1219,.T.); #26117=ADVANCED_FACE('',(#2514),#1220,.F.); #26118=ADVANCED_FACE('',(#2515),#1221,.F.); #26119=ADVANCED_FACE('',(#2516),#1222,.F.); #26120=ADVANCED_FACE('',(#2517),#1223,.T.); #26121=ADVANCED_FACE('',(#2518),#1224,.T.); #26122=ADVANCED_FACE('',(#2519),#1225,.F.); #26123=ADVANCED_FACE('',(#2520),#1226,.F.); #26124=ADVANCED_FACE('',(#2521),#1227,.F.); #26125=ADVANCED_FACE('',(#2522),#1228,.T.); #26126=ADVANCED_FACE('',(#2523),#1229,.T.); #26127=ADVANCED_FACE('',(#2524),#1230,.T.); #26128=ADVANCED_FACE('',(#2525),#1231,.T.); #26129=ADVANCED_FACE('',(#2526),#1232,.T.); #26130=ADVANCED_FACE('',(#2527),#1233,.T.); #26131=ADVANCED_FACE('',(#2528),#1234,.T.); #26132=ADVANCED_FACE('',(#2529),#1235,.T.); #26133=ADVANCED_FACE('',(#2530),#1236,.T.); #26134=ADVANCED_FACE('',(#2531,#61,#62,#63,#64,#65,#66,#67,#68,#69,#70, #71,#72,#73,#74),#1237,.F.); #26135=ADVANCED_FACE('',(#2532),#1238,.T.); #26136=ADVANCED_FACE('',(#2533),#1239,.T.); #26137=ADVANCED_FACE('',(#2534),#24844,.T.); #26138=ADVANCED_FACE('',(#2535),#24845,.F.); #26139=ADVANCED_FACE('',(#2536),#1240,.T.); #26140=ADVANCED_FACE('',(#2537),#1241,.T.); #26141=ADVANCED_FACE('',(#2538),#24846,.T.); #26142=ADVANCED_FACE('',(#2539),#24847,.F.); #26143=ADVANCED_FACE('',(#2540),#1242,.T.); #26144=ADVANCED_FACE('',(#2541),#1243,.T.); #26145=ADVANCED_FACE('',(#2542),#24848,.T.); #26146=ADVANCED_FACE('',(#2543),#24849,.F.); #26147=ADVANCED_FACE('',(#2544),#1244,.T.); #26148=ADVANCED_FACE('',(#2545),#1245,.T.); #26149=ADVANCED_FACE('',(#2546),#24850,.T.); #26150=ADVANCED_FACE('',(#2547),#24851,.F.); #26151=ADVANCED_FACE('',(#2548),#1246,.F.); #26152=ADVANCED_FACE('',(#2549),#24852,.F.); #26153=ADVANCED_FACE('',(#2550),#1247,.F.); #26154=ADVANCED_FACE('',(#2551),#24853,.F.); #26155=ADVANCED_FACE('',(#2552),#1248,.F.); #26156=ADVANCED_FACE('',(#2553),#1249,.F.); #26157=ADVANCED_FACE('',(#2554),#24854,.F.); #26158=ADVANCED_FACE('',(#2555),#1250,.F.); #26159=ADVANCED_FACE('',(#2556),#24855,.F.); #26160=ADVANCED_FACE('',(#2557),#1251,.F.); #26161=ADVANCED_FACE('',(#2558),#1252,.F.); #26162=ADVANCED_FACE('',(#2559),#24856,.F.); #26163=ADVANCED_FACE('',(#2560),#1253,.F.); #26164=ADVANCED_FACE('',(#2561),#24857,.F.); #26165=ADVANCED_FACE('',(#2562),#1254,.F.); #26166=CLOSED_SHELL('',(#24858,#24859,#24860,#24861,#24862,#24863,#24864, #24865)); #26167=CLOSED_SHELL('',(#24866,#24867,#24868,#24869,#24870,#24871,#24872, #24873,#24874,#24875,#24876,#24877,#24878,#24879,#24880,#24881,#24882,#24883, #24884,#24885,#24886,#24887,#24888,#24889,#24890,#24891,#24892,#24893,#24894, #24895,#24896,#24897,#24898,#24899,#24900,#24901,#24902,#24903,#24904,#24905, #24906,#24907,#24908,#24909,#24910,#24911,#24912,#24913,#24914,#24915,#24916, #24917,#24918,#24919,#24920,#24921,#24922,#24923,#24924,#24925,#24926,#24927, #24928,#24929,#24930,#24931,#24932,#24933,#24934,#24935,#24936,#24937,#24938, #24939,#24940,#24941,#24942,#24943,#24944,#24945,#24946,#24947,#24948,#24949, #24950,#24951,#24952,#24953,#24954,#24955,#24956,#24957,#24958,#24959,#24960, #24961,#24962,#24963,#24964,#24965,#24966,#24967,#24968,#24969,#24970,#24971, #24972,#24973,#24974,#24975,#24976,#24977,#24978,#24979,#24980,#24981,#24982, #24983,#24984,#24985,#24986,#24987,#24988,#24989,#24990,#24991,#24992,#24993, #24994,#24995,#24996,#24997,#24998,#24999,#25000,#25001,#25002,#25003,#25004, #25005,#25006,#25007,#25008,#25009,#25010,#25011,#25012,#25013,#25014,#25015, #25016,#25017,#25018,#25019,#25020,#25021,#25022,#25023,#25024,#25025,#25026, #25027,#25028,#25029,#25030,#25031,#25032,#25033,#25034,#25035,#25036,#25037, #25038,#25039,#25040,#25041,#25042,#25043,#25044,#25045,#25046,#25047,#25048, #25049,#25050,#25051,#25052,#25053,#25054,#25055,#25056,#25057,#25058,#25059, #25060,#25061,#25062,#25063,#25064,#25065,#25066,#25067,#25068,#25069,#25070, #25071,#25072,#25073,#25074,#25075,#25076,#25077,#25078,#25079,#25080,#25081, #25082,#25083,#25084,#25085,#25086,#25087,#25088,#25089,#25090,#25091,#25092, #25093,#25094,#25095,#25096,#25097,#25098,#25099,#25100,#25101,#25102,#25103, #25104,#25105,#25106,#25107,#25108,#25109,#25110,#25111,#25112,#25113,#25114, #25115,#25116,#25117,#25118,#25119,#25120,#25121,#25122,#25123,#25124,#25125, #25126,#25127,#25128,#25129,#25130,#25131,#25132,#25133,#25134,#25135,#25136, #25137,#25138,#25139,#25140,#25141,#25142,#25143,#25144,#25145,#25146,#25147, #25148,#25149,#25150,#25151,#25152,#25153,#25154,#25155,#25156,#25157,#25158, #25159,#25160,#25161,#25162,#25163,#25164,#25165,#25166,#25167,#25168,#25169, #25170,#25171,#25172,#25173,#25174,#25175,#25176,#25177,#25178,#25179,#25180, #25181,#25182,#25183,#25184,#25185,#25186,#25187,#25188,#25189,#25190,#25191, #25192,#25193,#25194,#25195,#25196,#25197,#25198,#25199,#25200,#25201,#25202, #25203,#25204,#25205,#25206,#25207,#25208,#25209,#25210,#25211,#25212,#25213, #25214,#25215,#25216,#25217,#25218,#25219,#25220,#25221,#25222,#25223,#25224, #25225,#25226,#25227,#25228,#25229,#25230,#25231,#25232,#25233,#25234,#25235, #25236,#25237,#25238,#25239,#25240,#25241,#25242,#25243,#25244,#25245,#25246, #25247,#25248,#25249,#25250,#25251,#25252,#25253,#25254,#25255)); #26168=CLOSED_SHELL('',(#25256,#25257,#25258,#25259,#25260,#25261,#25262, #25263)); #26169=CLOSED_SHELL('',(#25264,#25265,#25266,#25267,#25268,#25269,#25270, #25271)); #26170=CLOSED_SHELL('',(#25272,#25273,#25274,#25275,#25276,#25277,#25278, #25279)); #26171=CLOSED_SHELL('',(#25280,#25281,#25282,#25283,#25284,#25285,#25286, #25287)); #26172=CLOSED_SHELL('',(#25288,#25289,#25290,#25291,#25292,#25293,#25294, #25295)); #26173=CLOSED_SHELL('',(#25296,#25297,#25298,#25299,#25300,#25301,#25302, #25303)); #26174=CLOSED_SHELL('',(#25304,#25305,#25306,#25307,#25308,#25309,#25310, #25311)); #26175=CLOSED_SHELL('',(#25312,#25313,#25314,#25315,#25316,#25317,#25318, #25319)); #26176=CLOSED_SHELL('',(#25320,#25321,#25322,#25323,#25324,#25325,#25326, #25327,#25328,#25329,#25330,#25331,#25332,#25333,#25334,#25335,#25336,#25337, #25338,#25339,#25340,#25341,#25342,#25343,#25344,#25345,#25346,#25347,#25348, #25349,#25350,#25351,#25352,#25353,#25354,#25355,#25356,#25357,#25358,#25359, #25360,#25361,#25362,#25363,#25364,#25365,#25366,#25367,#25368,#25369,#25370, #25371,#25372,#25373,#25374,#25375,#25376,#25377,#25378,#25379,#25380,#25381, #25382,#25383,#25384,#25385,#25386,#25387,#25388,#25389,#25390,#25391,#25392, #25393,#25394,#25395,#25396,#25397,#25398,#25399,#25400,#25401,#25402,#25403, #25404,#25405,#25406,#25407,#25408,#25409,#25410,#25411,#25412,#25413,#25414, #25415,#25416,#25417,#25418,#25419,#25420,#25421,#25422,#25423,#25424,#25425, #25426,#25427,#25428,#25429,#25430,#25431,#25432,#25433,#25434,#25435,#25436, #25437,#25438,#25439,#25440,#25441,#25442,#25443,#25444,#25445,#25446,#25447, #25448,#25449,#25450,#25451,#25452,#25453,#25454,#25455,#25456,#25457,#25458, #25459,#25460,#25461,#25462,#25463,#25464,#25465,#25466,#25467,#25468,#25469, #25470,#25471,#25472,#25473,#25474,#25475,#25476,#25477,#25478,#25479,#25480, #25481,#25482,#25483,#25484,#25485,#25486,#25487,#25488,#25489,#25490,#25491, #25492,#25493,#25494,#25495,#25496,#25497,#25498,#25499,#25500,#25501,#25502, #25503,#25504,#25505,#25506,#25507,#25508,#25509,#25510,#25511,#25512,#25513, #25514,#25515,#25516,#25517,#25518,#25519,#25520,#25521,#25522,#25523,#25524, #25525,#25526,#25527,#25528,#25529,#25530,#25531,#25532,#25533,#25534,#25535, #25536,#25537,#25538,#25539,#25540,#25541,#25542,#25543,#25544,#25545,#25546, #25547,#25548,#25549,#25550,#25551,#25552,#25553,#25554,#25555,#25556,#25557, #25558,#25559,#25560,#25561,#25562,#25563,#25564,#25565,#25566,#25567,#25568, #25569,#25570,#25571,#25572,#25573,#25574,#25575,#25576,#25577,#25578,#25579, #25580,#25581,#25582,#25583,#25584,#25585,#25586,#25587,#25588,#25589,#25590, #25591,#25592,#25593,#25594,#25595,#25596,#25597,#25598,#25599,#25600,#25601, #25602,#25603,#25604,#25605,#25606,#25607,#25608,#25609,#25610,#25611,#25612, #25613,#25614,#25615,#25616,#25617,#25618,#25619,#25620,#25621,#25622,#25623, #25624,#25625,#25626,#25627,#25628,#25629,#25630,#25631,#25632,#25633,#25634, #25635,#25636,#25637,#25638,#25639,#25640,#25641,#25642,#25643,#25644,#25645, #25646,#25647,#25648,#25649,#25650,#25651,#25652,#25653,#25654,#25655,#25656, #25657,#25658,#25659,#25660,#25661,#25662,#25663,#25664,#25665,#25666,#25667, #25668,#25669,#25670,#25671,#25672,#25673,#25674,#25675,#25676,#25677,#25678, #25679,#25680,#25681,#25682,#25683,#25684,#25685,#25686,#25687,#25688,#25689, #25690,#25691,#25692,#25693,#25694,#25695,#25696,#25697,#25698,#25699,#25700, #25701,#25702,#25703,#25704,#25705,#25706,#25707,#25708,#25709,#25710,#25711, #25712,#25713,#25714,#25715,#25716,#25717,#25718,#25719,#25720,#25721,#25722, #25723,#25724,#25725,#25726,#25727,#25728,#25729,#25730,#25731,#25732,#25733, #25734,#25735,#25736,#25737,#25738,#25739,#25740,#25741,#25742,#25743,#25744, #25745,#25746,#25747,#25748,#25749,#25750,#25751,#25752,#25753,#25754,#25755, #25756,#25757,#25758,#25759,#25760,#25761,#25762,#25763,#25764,#25765,#25766, #25767,#25768,#25769,#25770,#25771,#25772,#25773,#25774,#25775,#25776,#25777, #25778,#25779,#25780,#25781,#25782,#25783,#25784,#25785,#25786,#25787,#25788, #25789,#25790,#25791,#25792,#25793,#25794,#25795,#25796,#25797,#25798,#25799, #25800,#25801,#25802,#25803,#25804,#25805,#25806,#25807,#25808,#25809,#25810, #25811,#25812,#25813,#25814,#25815,#25816,#25817,#25818,#25819,#25820,#25821, #25822,#25823,#25824,#25825,#25826,#25827,#25828,#25829,#25830,#25831,#25832, #25833,#25834,#25835,#25836,#25837,#25838,#25839,#25840,#25841,#25842,#25843, #25844,#25845,#25846,#25847,#25848,#25849,#25850,#25851,#25852,#25853,#25854, #25855,#25856,#25857,#25858,#25859,#25860,#25861,#25862,#25863,#25864,#25865, #25866,#25867,#25868,#25869,#25870,#25871,#25872,#25873,#25874,#25875,#25876, #25877,#25878,#25879,#25880,#25881,#25882,#25883,#25884,#25885,#25886,#25887, #25888,#25889,#25890,#25891,#25892,#25893,#25894,#25895,#25896,#25897,#25898, #25899,#25900,#25901,#25902,#25903,#25904,#25905,#25906,#25907,#25908,#25909, #25910,#25911,#25912,#25913,#25914,#25915,#25916,#25917,#25918,#25919,#25920, #25921,#25922,#25923,#25924,#25925,#25926,#25927,#25928,#25929,#25930,#25931, #25932,#25933,#25934,#25935,#25936,#25937,#25938,#25939,#25940,#25941,#25942, #25943,#25944,#25945,#25946,#25947,#25948,#25949,#25950,#25951,#25952,#25953, #25954,#25955,#25956,#25957,#25958,#25959,#25960,#25961,#25962,#25963,#25964, #25965,#25966,#25967,#25968,#25969,#25970,#25971,#25972,#25973,#25974,#25975, #25976,#25977,#25978,#25979,#25980,#25981,#25982,#25983,#25984,#25985,#25986, #25987,#25988,#25989,#25990,#25991,#25992,#25993,#25994,#25995,#25996,#25997, #25998,#25999,#26000,#26001,#26002,#26003,#26004,#26005,#26006,#26007,#26008, #26009,#26010,#26011,#26012,#26013,#26014,#26015,#26016,#26017,#26018,#26019, #26020,#26021,#26022,#26023,#26024,#26025,#26026,#26027,#26028,#26029,#26030, #26031,#26032,#26033,#26034,#26035,#26036,#26037,#26038,#26039,#26040,#26041, #26042,#26043,#26044,#26045,#26046,#26047,#26048,#26049,#26050,#26051,#26052, #26053,#26054,#26055,#26056,#26057,#26058,#26059,#26060,#26061,#26062,#26063, #26064,#26065,#26066,#26067,#26068,#26069,#26070,#26071,#26072,#26073,#26074, #26075,#26076,#26077,#26078,#26079,#26080,#26081,#26082,#26083,#26084,#26085, #26086,#26087,#26088,#26089,#26090,#26091,#26092,#26093,#26094,#26095,#26096, #26097,#26098,#26099,#26100,#26101,#26102,#26103,#26104,#26105,#26106,#26107, #26108,#26109,#26110,#26111,#26112,#26113,#26114,#26115,#26116,#26117,#26118, #26119,#26120,#26121,#26122,#26123,#26124,#26125,#26126,#26127,#26128,#26129, #26130,#26131,#26132,#26133,#26134,#26135,#26136,#26137,#26138,#26139,#26140, #26141,#26142,#26143,#26144,#26145,#26146,#26147,#26148,#26149,#26150,#26151, #26152,#26153,#26154,#26155,#26156,#26157,#26158,#26159,#26160,#26161,#26162, #26163,#26164,#26165)); #26177=DERIVED_UNIT_ELEMENT(#26181,1.); #26178=DERIVED_UNIT_ELEMENT(#42769,-3.); #26179=DERIVED_UNIT_ELEMENT(#26181,1.); #26180=DERIVED_UNIT_ELEMENT(#42769,-3.); #26181=( MASS_UNIT() NAMED_UNIT(*) SI_UNIT(.KILO.,.GRAM.) ); #26182=DERIVED_UNIT((#26177,#26178)); #26183=DERIVED_UNIT((#26179,#26180)); #26184=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#26182); #26185=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#26183); #26186=PROPERTY_DEFINITION_REPRESENTATION(#26196,#26190); #26187=PROPERTY_DEFINITION_REPRESENTATION(#26197,#26191); #26188=PROPERTY_DEFINITION_REPRESENTATION(#26198,#26192); #26189=PROPERTY_DEFINITION_REPRESENTATION(#26199,#26193); #26190=REPRESENTATION('material name',(#26194),#42765); #26191=REPRESENTATION('density',(#26184),#42765); #26192=REPRESENTATION('material name',(#26195),#42766); #26193=REPRESENTATION('density',(#26185),#42766); #26194=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #26195=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #26196=PROPERTY_DEFINITION('material property','material name',#42785); #26197=PROPERTY_DEFINITION('material property','density of part',#42785); #26198=PROPERTY_DEFINITION('material property','material name',#42786); #26199=PROPERTY_DEFINITION('material property','density of part',#42786); #26200=AXIS2_PLACEMENT_3D('',#35201,#28167,#28168); #26201=AXIS2_PLACEMENT_3D('',#35202,#28169,#28170); #26202=AXIS2_PLACEMENT_3D('',#35203,#28171,#28172); #26203=AXIS2_PLACEMENT_3D('',#35206,#28173,#28174); #26204=AXIS2_PLACEMENT_3D('',#35210,#28176,#28177); #26205=AXIS2_PLACEMENT_3D('',#35212,#28179,#28180); #26206=AXIS2_PLACEMENT_3D('',#35218,#28184,#28185); #26207=AXIS2_PLACEMENT_3D('',#35227,#28190,#28191); #26208=AXIS2_PLACEMENT_3D('',#35233,#28195,#28196); #26209=AXIS2_PLACEMENT_3D('',#35236,#28199,#28200); #26210=AXIS2_PLACEMENT_3D('',#35239,#28203,#28204); #26211=AXIS2_PLACEMENT_3D('',#35240,#28205,#28206); #26212=AXIS2_PLACEMENT_3D('',#35241,#28207,#28208); #26213=AXIS2_PLACEMENT_3D('',#35250,#28213,#28214); #26214=AXIS2_PLACEMENT_3D('',#35259,#28219,#28220); #26215=AXIS2_PLACEMENT_3D('',#35262,#28223,#28224); #26216=AXIS2_PLACEMENT_3D('',#35266,#28226,#28227); #26217=AXIS2_PLACEMENT_3D('',#35268,#28229,#28230); #26218=AXIS2_PLACEMENT_3D('',#35277,#28235,#28236); #26219=AXIS2_PLACEMENT_3D('',#35286,#28241,#28242); #26220=AXIS2_PLACEMENT_3D('',#35289,#28245,#28246); #26221=AXIS2_PLACEMENT_3D('',#35293,#28248,#28249); #26222=AXIS2_PLACEMENT_3D('',#35295,#28251,#28252); #26223=AXIS2_PLACEMENT_3D('',#35304,#28257,#28258); #26224=AXIS2_PLACEMENT_3D('',#35313,#28263,#28264); #26225=AXIS2_PLACEMENT_3D('',#35316,#28267,#28268); #26226=AXIS2_PLACEMENT_3D('',#35320,#28270,#28271); #26227=AXIS2_PLACEMENT_3D('',#35322,#28273,#28274); #26228=AXIS2_PLACEMENT_3D('',#35331,#28279,#28280); #26229=AXIS2_PLACEMENT_3D('',#35340,#28285,#28286); #26230=AXIS2_PLACEMENT_3D('',#35343,#28289,#28290); #26231=AXIS2_PLACEMENT_3D('',#35347,#28292,#28293); #26232=AXIS2_PLACEMENT_3D('',#35349,#28295,#28296); #26233=AXIS2_PLACEMENT_3D('',#35358,#28301,#28302); #26234=AXIS2_PLACEMENT_3D('',#35367,#28307,#28308); #26235=AXIS2_PLACEMENT_3D('',#35370,#28311,#28312); #26236=AXIS2_PLACEMENT_3D('',#35374,#28314,#28315); #26237=AXIS2_PLACEMENT_3D('',#35376,#28317,#28318); #26238=AXIS2_PLACEMENT_3D('',#35385,#28323,#28324); #26239=AXIS2_PLACEMENT_3D('',#35394,#28329,#28330); #26240=AXIS2_PLACEMENT_3D('',#35397,#28333,#28334); #26241=AXIS2_PLACEMENT_3D('',#35400,#28335,#28336); #26242=AXIS2_PLACEMENT_3D('',#35403,#28339,#28340); #26243=AXIS2_PLACEMENT_3D('',#35412,#28345,#28346); #26244=AXIS2_PLACEMENT_3D('',#35421,#28351,#28352); #26245=AXIS2_PLACEMENT_3D('',#35424,#28355,#28356); #26246=AXIS2_PLACEMENT_3D('',#35427,#28357,#28358); #26247=AXIS2_PLACEMENT_3D('',#35430,#28361,#28362); #26248=AXIS2_PLACEMENT_3D('',#35439,#28367,#28368); #26249=AXIS2_PLACEMENT_3D('',#35448,#28373,#28374); #26250=AXIS2_PLACEMENT_3D('',#35451,#28377,#28378); #26251=AXIS2_PLACEMENT_3D('',#35454,#28379,#28380); #26252=AXIS2_PLACEMENT_3D('',#35457,#28383,#28384); #26253=AXIS2_PLACEMENT_3D('',#35466,#28389,#28390); #26254=AXIS2_PLACEMENT_3D('',#35475,#28395,#28396); #26255=AXIS2_PLACEMENT_3D('',#35478,#28399,#28400); #26256=AXIS2_PLACEMENT_3D('',#35481,#28401,#28402); #26257=AXIS2_PLACEMENT_3D('',#35484,#28405,#28406); #26258=AXIS2_PLACEMENT_3D('',#35493,#28411,#28412); #26259=AXIS2_PLACEMENT_3D('',#35502,#28417,#28418); #26260=AXIS2_PLACEMENT_3D('',#35505,#28421,#28422); #26261=AXIS2_PLACEMENT_3D('',#35508,#28423,#28424); #26262=AXIS2_PLACEMENT_3D('',#35511,#28427,#28428); #26263=AXIS2_PLACEMENT_3D('',#35520,#28433,#28434); #26264=AXIS2_PLACEMENT_3D('',#35529,#28439,#28440); #26265=AXIS2_PLACEMENT_3D('',#35532,#28443,#28444); #26266=AXIS2_PLACEMENT_3D('',#35535,#28445,#28446); #26267=AXIS2_PLACEMENT_3D('',#35538,#28449,#28450); #26268=AXIS2_PLACEMENT_3D('',#35547,#28455,#28456); #26269=AXIS2_PLACEMENT_3D('',#35556,#28461,#28462); #26270=AXIS2_PLACEMENT_3D('',#35559,#28465,#28466); #26271=AXIS2_PLACEMENT_3D('',#35562,#28467,#28468); #26272=AXIS2_PLACEMENT_3D('',#35565,#28471,#28472); #26273=AXIS2_PLACEMENT_3D('',#35574,#28477,#28478); #26274=AXIS2_PLACEMENT_3D('',#35583,#28483,#28484); #26275=AXIS2_PLACEMENT_3D('',#35586,#28487,#28488); #26276=AXIS2_PLACEMENT_3D('',#35589,#28489,#28490); #26277=AXIS2_PLACEMENT_3D('',#35592,#28493,#28494); #26278=AXIS2_PLACEMENT_3D('',#35601,#28499,#28500); #26279=AXIS2_PLACEMENT_3D('',#35610,#28505,#28506); #26280=AXIS2_PLACEMENT_3D('',#35613,#28509,#28510); #26281=AXIS2_PLACEMENT_3D('',#35616,#28511,#28512); #26282=AXIS2_PLACEMENT_3D('',#35619,#28515,#28516); #26283=AXIS2_PLACEMENT_3D('',#35628,#28521,#28522); #26284=AXIS2_PLACEMENT_3D('',#35637,#28527,#28528); #26285=AXIS2_PLACEMENT_3D('',#35640,#28531,#28532); #26286=AXIS2_PLACEMENT_3D('',#35643,#28533,#28534); #26287=AXIS2_PLACEMENT_3D('',#35646,#28537,#28538); #26288=AXIS2_PLACEMENT_3D('',#35655,#28543,#28544); #26289=AXIS2_PLACEMENT_3D('',#35664,#28549,#28550); #26290=AXIS2_PLACEMENT_3D('',#35667,#28553,#28554); #26291=AXIS2_PLACEMENT_3D('',#35671,#28556,#28557); #26292=AXIS2_PLACEMENT_3D('',#35673,#28559,#28560); #26293=AXIS2_PLACEMENT_3D('',#35682,#28565,#28566); #26294=AXIS2_PLACEMENT_3D('',#35691,#28571,#28572); #26295=AXIS2_PLACEMENT_3D('',#35694,#28575,#28576); #26296=AXIS2_PLACEMENT_3D('',#35698,#28578,#28579); #26297=AXIS2_PLACEMENT_3D('',#35700,#28581,#28582); #26298=AXIS2_PLACEMENT_3D('',#35709,#28587,#28588); #26299=AXIS2_PLACEMENT_3D('',#35718,#28593,#28594); #26300=AXIS2_PLACEMENT_3D('',#35721,#28597,#28598); #26301=AXIS2_PLACEMENT_3D('',#35725,#28600,#28601); #26302=AXIS2_PLACEMENT_3D('',#35727,#28603,#28604); #26303=AXIS2_PLACEMENT_3D('',#35736,#28609,#28610); #26304=AXIS2_PLACEMENT_3D('',#35745,#28615,#28616); #26305=AXIS2_PLACEMENT_3D('',#35748,#28619,#28620); #26306=AXIS2_PLACEMENT_3D('',#35752,#28622,#28623); #26307=AXIS2_PLACEMENT_3D('',#35754,#28625,#28626); #26308=AXIS2_PLACEMENT_3D('',#35763,#28631,#28632); #26309=AXIS2_PLACEMENT_3D('',#35772,#28637,#28638); #26310=AXIS2_PLACEMENT_3D('',#35775,#28641,#28642); #26311=AXIS2_PLACEMENT_3D('',#35779,#28644,#28645); #26312=AXIS2_PLACEMENT_3D('',#35781,#28647,#28648); #26313=AXIS2_PLACEMENT_3D('',#35790,#28653,#28654); #26314=AXIS2_PLACEMENT_3D('',#35799,#28659,#28660); #26315=AXIS2_PLACEMENT_3D('',#35802,#28663,#28664); #26316=AXIS2_PLACEMENT_3D('',#35806,#28666,#28667); #26317=AXIS2_PLACEMENT_3D('',#35808,#28669,#28670); #26318=AXIS2_PLACEMENT_3D('',#35817,#28675,#28676); #26319=AXIS2_PLACEMENT_3D('',#35826,#28681,#28682); #26320=AXIS2_PLACEMENT_3D('',#35829,#28685,#28686); #26321=AXIS2_PLACEMENT_3D('',#35833,#28688,#28689); #26322=AXIS2_PLACEMENT_3D('',#35835,#28691,#28692); #26323=AXIS2_PLACEMENT_3D('',#35844,#28697,#28698); #26324=AXIS2_PLACEMENT_3D('',#35853,#28703,#28704); #26325=AXIS2_PLACEMENT_3D('',#35856,#28707,#28708); #26326=AXIS2_PLACEMENT_3D('',#35860,#28710,#28711); #26327=AXIS2_PLACEMENT_3D('',#35862,#28713,#28714); #26328=AXIS2_PLACEMENT_3D('',#35871,#28719,#28720); #26329=AXIS2_PLACEMENT_3D('',#35880,#28725,#28726); #26330=AXIS2_PLACEMENT_3D('',#35883,#28729,#28730); #26331=AXIS2_PLACEMENT_3D('',#35887,#28732,#28733); #26332=AXIS2_PLACEMENT_3D('',#35889,#28735,#28736); #26333=AXIS2_PLACEMENT_3D('',#35898,#28741,#28742); #26334=AXIS2_PLACEMENT_3D('',#35907,#28747,#28748); #26335=AXIS2_PLACEMENT_3D('',#35910,#28751,#28752); #26336=AXIS2_PLACEMENT_3D('',#35914,#28754,#28755); #26337=AXIS2_PLACEMENT_3D('',#35916,#28757,#28758); #26338=AXIS2_PLACEMENT_3D('',#35925,#28763,#28764); #26339=AXIS2_PLACEMENT_3D('',#35934,#28769,#28770); #26340=AXIS2_PLACEMENT_3D('',#35937,#28773,#28774); #26341=AXIS2_PLACEMENT_3D('',#35941,#28776,#28777); #26342=AXIS2_PLACEMENT_3D('',#35943,#28779,#28780); #26343=AXIS2_PLACEMENT_3D('',#35952,#28785,#28786); #26344=AXIS2_PLACEMENT_3D('',#35961,#28791,#28792); #26345=AXIS2_PLACEMENT_3D('',#35964,#28795,#28796); #26346=AXIS2_PLACEMENT_3D('',#35967,#28797,#28798); #26347=AXIS2_PLACEMENT_3D('',#35970,#28801,#28802); #26348=AXIS2_PLACEMENT_3D('',#35979,#28807,#28808); #26349=AXIS2_PLACEMENT_3D('',#35988,#28813,#28814); #26350=AXIS2_PLACEMENT_3D('',#35991,#28817,#28818); #26351=AXIS2_PLACEMENT_3D('',#35994,#28819,#28820); #26352=AXIS2_PLACEMENT_3D('',#35997,#28823,#28824); #26353=AXIS2_PLACEMENT_3D('',#36006,#28829,#28830); #26354=AXIS2_PLACEMENT_3D('',#36015,#28835,#28836); #26355=AXIS2_PLACEMENT_3D('',#36018,#28839,#28840); #26356=AXIS2_PLACEMENT_3D('',#36021,#28841,#28842); #26357=AXIS2_PLACEMENT_3D('',#36024,#28845,#28846); #26358=AXIS2_PLACEMENT_3D('',#36033,#28851,#28852); #26359=AXIS2_PLACEMENT_3D('',#36042,#28857,#28858); #26360=AXIS2_PLACEMENT_3D('',#36045,#28861,#28862); #26361=AXIS2_PLACEMENT_3D('',#36048,#28863,#28864); #26362=AXIS2_PLACEMENT_3D('',#36051,#28867,#28868); #26363=AXIS2_PLACEMENT_3D('',#36060,#28873,#28874); #26364=AXIS2_PLACEMENT_3D('',#36069,#28879,#28880); #26365=AXIS2_PLACEMENT_3D('',#36072,#28883,#28884); #26366=AXIS2_PLACEMENT_3D('',#36076,#28886,#28887); #26367=AXIS2_PLACEMENT_3D('',#36078,#28889,#28890); #26368=AXIS2_PLACEMENT_3D('',#36087,#28895,#28896); #26369=AXIS2_PLACEMENT_3D('',#36096,#28901,#28902); #26370=AXIS2_PLACEMENT_3D('',#36099,#28905,#28906); #26371=AXIS2_PLACEMENT_3D('',#36102,#28907,#28908); #26372=AXIS2_PLACEMENT_3D('',#36105,#28911,#28912); #26373=AXIS2_PLACEMENT_3D('',#36114,#28917,#28918); #26374=AXIS2_PLACEMENT_3D('',#36123,#28923,#28924); #26375=AXIS2_PLACEMENT_3D('',#36126,#28927,#28928); #26376=AXIS2_PLACEMENT_3D('',#36129,#28929,#28930); #26377=AXIS2_PLACEMENT_3D('',#36132,#28933,#28934); #26378=AXIS2_PLACEMENT_3D('',#36141,#28939,#28940); #26379=AXIS2_PLACEMENT_3D('',#36150,#28945,#28946); #26380=AXIS2_PLACEMENT_3D('',#36153,#28949,#28950); #26381=AXIS2_PLACEMENT_3D('',#36156,#28951,#28952); #26382=AXIS2_PLACEMENT_3D('',#36159,#28955,#28956); #26383=AXIS2_PLACEMENT_3D('',#36168,#28961,#28962); #26384=AXIS2_PLACEMENT_3D('',#36177,#28967,#28968); #26385=AXIS2_PLACEMENT_3D('',#36180,#28971,#28972); #26386=AXIS2_PLACEMENT_3D('',#36184,#28974,#28975); #26387=AXIS2_PLACEMENT_3D('',#36186,#28977,#28978); #26388=AXIS2_PLACEMENT_3D('',#36195,#28983,#28984); #26389=AXIS2_PLACEMENT_3D('',#36204,#28989,#28990); #26390=AXIS2_PLACEMENT_3D('',#36207,#28993,#28994); #26391=AXIS2_PLACEMENT_3D('',#36210,#28995,#28996); #26392=AXIS2_PLACEMENT_3D('',#36213,#28999,#29000); #26393=AXIS2_PLACEMENT_3D('',#36222,#29005,#29006); #26394=AXIS2_PLACEMENT_3D('',#36231,#29011,#29012); #26395=AXIS2_PLACEMENT_3D('',#36234,#29015,#29016); #26396=AXIS2_PLACEMENT_3D('',#36238,#29018,#29019); #26397=AXIS2_PLACEMENT_3D('',#36240,#29021,#29022); #26398=AXIS2_PLACEMENT_3D('',#36249,#29027,#29028); #26399=AXIS2_PLACEMENT_3D('',#36258,#29033,#29034); #26400=AXIS2_PLACEMENT_3D('',#36261,#29037,#29038); #26401=AXIS2_PLACEMENT_3D('',#36265,#29040,#29041); #26402=AXIS2_PLACEMENT_3D('',#36267,#29043,#29044); #26403=AXIS2_PLACEMENT_3D('',#36276,#29049,#29050); #26404=AXIS2_PLACEMENT_3D('',#36285,#29055,#29056); #26405=AXIS2_PLACEMENT_3D('',#36288,#29059,#29060); #26406=AXIS2_PLACEMENT_3D('',#36292,#29062,#29063); #26407=AXIS2_PLACEMENT_3D('',#36294,#29065,#29066); #26408=AXIS2_PLACEMENT_3D('',#36303,#29071,#29072); #26409=AXIS2_PLACEMENT_3D('',#36312,#29077,#29078); #26410=AXIS2_PLACEMENT_3D('',#36315,#29081,#29082); #26411=AXIS2_PLACEMENT_3D('',#36319,#29084,#29085); #26412=AXIS2_PLACEMENT_3D('',#36321,#29087,#29088); #26413=AXIS2_PLACEMENT_3D('',#36330,#29093,#29094); #26414=AXIS2_PLACEMENT_3D('',#36339,#29099,#29100); #26415=AXIS2_PLACEMENT_3D('',#36342,#29103,#29104); #26416=AXIS2_PLACEMENT_3D('',#36345,#29105,#29106); #26417=AXIS2_PLACEMENT_3D('',#36348,#29109,#29110); #26418=AXIS2_PLACEMENT_3D('',#36398,#29156,#29157); #26419=AXIS2_PLACEMENT_3D('',#36401,#29158,#29159); #26420=AXIS2_PLACEMENT_3D('',#36404,#29162,#29163); #26421=AXIS2_PLACEMENT_3D('',#36407,#29164,#29165); #26422=AXIS2_PLACEMENT_3D('',#36410,#29168,#29169); #26423=AXIS2_PLACEMENT_3D('',#36413,#29170,#29171); #26424=AXIS2_PLACEMENT_3D('',#36416,#29174,#29175); #26425=AXIS2_PLACEMENT_3D('',#36419,#29176,#29177); #26426=AXIS2_PLACEMENT_3D('',#36422,#29180,#29181); #26427=AXIS2_PLACEMENT_3D('',#36425,#29182,#29183); #26428=AXIS2_PLACEMENT_3D('',#36428,#29186,#29187); #26429=AXIS2_PLACEMENT_3D('',#36431,#29188,#29189); #26430=AXIS2_PLACEMENT_3D('',#36434,#29192,#29193); #26431=AXIS2_PLACEMENT_3D('',#36437,#29194,#29195); #26432=AXIS2_PLACEMENT_3D('',#36440,#29198,#29199); #26433=AXIS2_PLACEMENT_3D('',#36443,#29200,#29201); #26434=AXIS2_PLACEMENT_3D('',#36446,#29204,#29205); #26435=AXIS2_PLACEMENT_3D('',#36449,#29206,#29207); #26436=AXIS2_PLACEMENT_3D('',#36452,#29210,#29211); #26437=AXIS2_PLACEMENT_3D('',#36454,#29213,#29214); #26438=AXIS2_PLACEMENT_3D('',#36456,#29216,#29217); #26439=AXIS2_PLACEMENT_3D('',#36458,#29219,#29220); #26440=AXIS2_PLACEMENT_3D('',#36460,#29222,#29223); #26441=AXIS2_PLACEMENT_3D('',#36462,#29225,#29226); #26442=AXIS2_PLACEMENT_3D('',#36464,#29228,#29229); #26443=AXIS2_PLACEMENT_3D('',#36466,#29231,#29232); #26444=AXIS2_PLACEMENT_3D('',#36468,#29234,#29235); #26445=AXIS2_PLACEMENT_3D('',#36472,#29238,#29239); #26446=AXIS2_PLACEMENT_3D('',#36744,#29376,#29377); #26447=AXIS2_PLACEMENT_3D('',#36755,#29384,#29385); #26448=AXIS2_PLACEMENT_3D('',#36766,#29392,#29393); #26449=AXIS2_PLACEMENT_3D('',#36777,#29400,#29401); #26450=AXIS2_PLACEMENT_3D('',#36788,#29408,#29409); #26451=AXIS2_PLACEMENT_3D('',#36799,#29416,#29417); #26452=AXIS2_PLACEMENT_3D('',#36810,#29424,#29425); #26453=AXIS2_PLACEMENT_3D('',#36821,#29432,#29433); #26454=AXIS2_PLACEMENT_3D('',#36832,#29440,#29441); #26455=AXIS2_PLACEMENT_3D('',#36843,#29448,#29449); #26456=AXIS2_PLACEMENT_3D('',#36854,#29456,#29457); #26457=AXIS2_PLACEMENT_3D('',#36865,#29464,#29465); #26458=AXIS2_PLACEMENT_3D('',#36876,#29472,#29473); #26459=AXIS2_PLACEMENT_3D('',#36887,#29480,#29481); #26460=AXIS2_PLACEMENT_3D('',#36898,#29488,#29489); #26461=AXIS2_PLACEMENT_3D('',#36909,#29496,#29497); #26462=AXIS2_PLACEMENT_3D('',#36916,#29502,#29503); #26463=AXIS2_PLACEMENT_3D('',#36927,#29510,#29511); #26464=AXIS2_PLACEMENT_3D('',#36938,#29518,#29519); #26465=AXIS2_PLACEMENT_3D('',#36949,#29526,#29527); #26466=AXIS2_PLACEMENT_3D('',#36960,#29534,#29535); #26467=AXIS2_PLACEMENT_3D('',#36971,#29542,#29543); #26468=AXIS2_PLACEMENT_3D('',#36982,#29550,#29551); #26469=AXIS2_PLACEMENT_3D('',#36993,#29558,#29559); #26470=AXIS2_PLACEMENT_3D('',#37004,#29566,#29567); #26471=AXIS2_PLACEMENT_3D('',#37015,#29574,#29575); #26472=AXIS2_PLACEMENT_3D('',#37026,#29582,#29583); #26473=AXIS2_PLACEMENT_3D('',#37033,#29588,#29589); #26474=AXIS2_PLACEMENT_3D('',#37044,#29596,#29597); #26475=AXIS2_PLACEMENT_3D('',#37055,#29604,#29605); #26476=AXIS2_PLACEMENT_3D('',#37066,#29612,#29613); #26477=AXIS2_PLACEMENT_3D('',#37077,#29620,#29621); #26478=AXIS2_PLACEMENT_3D('',#37088,#29628,#29629); #26479=AXIS2_PLACEMENT_3D('',#37090,#29631,#29632); #26480=AXIS2_PLACEMENT_3D('',#37096,#29636,#29637); #26481=AXIS2_PLACEMENT_3D('',#37102,#29641,#29642); #26482=AXIS2_PLACEMENT_3D('',#37106,#29645,#29646); #26483=AXIS2_PLACEMENT_3D('',#37110,#29649,#29650); #26484=AXIS2_PLACEMENT_3D('',#37112,#29652,#29653); #26485=AXIS2_PLACEMENT_3D('',#37113,#29654,#29655); #26486=AXIS2_PLACEMENT_3D('',#37114,#29656,#29657); #26487=AXIS2_PLACEMENT_3D('',#37118,#29660,#29661); #26488=AXIS2_PLACEMENT_3D('',#37122,#29664,#29665); #26489=AXIS2_PLACEMENT_3D('',#37124,#29667,#29668); #26490=AXIS2_PLACEMENT_3D('',#37125,#29669,#29670); #26491=AXIS2_PLACEMENT_3D('',#37126,#29671,#29672); #26492=AXIS2_PLACEMENT_3D('',#37130,#29675,#29676); #26493=AXIS2_PLACEMENT_3D('',#37134,#29679,#29680); #26494=AXIS2_PLACEMENT_3D('',#37136,#29682,#29683); #26495=AXIS2_PLACEMENT_3D('',#37137,#29684,#29685); #26496=AXIS2_PLACEMENT_3D('',#37138,#29686,#29687); #26497=AXIS2_PLACEMENT_3D('',#37142,#29690,#29691); #26498=AXIS2_PLACEMENT_3D('',#37146,#29694,#29695); #26499=AXIS2_PLACEMENT_3D('',#37148,#29697,#29698); #26500=AXIS2_PLACEMENT_3D('',#37149,#29699,#29700); #26501=AXIS2_PLACEMENT_3D('',#37150,#29701,#29702); #26502=AXIS2_PLACEMENT_3D('',#37154,#29705,#29706); #26503=AXIS2_PLACEMENT_3D('',#37158,#29709,#29710); #26504=AXIS2_PLACEMENT_3D('',#37160,#29712,#29713); #26505=AXIS2_PLACEMENT_3D('',#37161,#29714,#29715); #26506=AXIS2_PLACEMENT_3D('',#37162,#29716,#29717); #26507=AXIS2_PLACEMENT_3D('',#37166,#29720,#29721); #26508=AXIS2_PLACEMENT_3D('',#37170,#29724,#29725); #26509=AXIS2_PLACEMENT_3D('',#37172,#29727,#29728); #26510=AXIS2_PLACEMENT_3D('',#37173,#29729,#29730); #26511=AXIS2_PLACEMENT_3D('',#37174,#29731,#29732); #26512=AXIS2_PLACEMENT_3D('',#37178,#29735,#29736); #26513=AXIS2_PLACEMENT_3D('',#37182,#29739,#29740); #26514=AXIS2_PLACEMENT_3D('',#37184,#29742,#29743); #26515=AXIS2_PLACEMENT_3D('',#37185,#29744,#29745); #26516=AXIS2_PLACEMENT_3D('',#37186,#29746,#29747); #26517=AXIS2_PLACEMENT_3D('',#37190,#29750,#29751); #26518=AXIS2_PLACEMENT_3D('',#37194,#29754,#29755); #26519=AXIS2_PLACEMENT_3D('',#37196,#29757,#29758); #26520=AXIS2_PLACEMENT_3D('',#37197,#29759,#29760); #26521=AXIS2_PLACEMENT_3D('',#37198,#29761,#29762); #26522=AXIS2_PLACEMENT_3D('',#37202,#29765,#29766); #26523=AXIS2_PLACEMENT_3D('',#37206,#29769,#29770); #26524=AXIS2_PLACEMENT_3D('',#37208,#29772,#29773); #26525=AXIS2_PLACEMENT_3D('',#37209,#29774,#29775); #26526=AXIS2_PLACEMENT_3D('',#37210,#29776,#29777); #26527=AXIS2_PLACEMENT_3D('',#37214,#29780,#29781); #26528=AXIS2_PLACEMENT_3D('',#37218,#29784,#29785); #26529=AXIS2_PLACEMENT_3D('',#37220,#29787,#29788); #26530=AXIS2_PLACEMENT_3D('',#37221,#29789,#29790); #26531=AXIS2_PLACEMENT_3D('',#37222,#29791,#29792); #26532=AXIS2_PLACEMENT_3D('',#37226,#29795,#29796); #26533=AXIS2_PLACEMENT_3D('',#37230,#29799,#29800); #26534=AXIS2_PLACEMENT_3D('',#37232,#29802,#29803); #26535=AXIS2_PLACEMENT_3D('',#37233,#29804,#29805); #26536=AXIS2_PLACEMENT_3D('',#37234,#29806,#29807); #26537=AXIS2_PLACEMENT_3D('',#37238,#29810,#29811); #26538=AXIS2_PLACEMENT_3D('',#37242,#29814,#29815); #26539=AXIS2_PLACEMENT_3D('',#37244,#29817,#29818); #26540=AXIS2_PLACEMENT_3D('',#37245,#29819,#29820); #26541=AXIS2_PLACEMENT_3D('',#37246,#29821,#29822); #26542=AXIS2_PLACEMENT_3D('',#37250,#29825,#29826); #26543=AXIS2_PLACEMENT_3D('',#37254,#29829,#29830); #26544=AXIS2_PLACEMENT_3D('',#37256,#29832,#29833); #26545=AXIS2_PLACEMENT_3D('',#37257,#29834,#29835); #26546=AXIS2_PLACEMENT_3D('',#37258,#29836,#29837); #26547=AXIS2_PLACEMENT_3D('',#37262,#29840,#29841); #26548=AXIS2_PLACEMENT_3D('',#37266,#29844,#29845); #26549=AXIS2_PLACEMENT_3D('',#37268,#29847,#29848); #26550=AXIS2_PLACEMENT_3D('',#37269,#29849,#29850); #26551=AXIS2_PLACEMENT_3D('',#37270,#29851,#29852); #26552=AXIS2_PLACEMENT_3D('',#37274,#29855,#29856); #26553=AXIS2_PLACEMENT_3D('',#37278,#29859,#29860); #26554=AXIS2_PLACEMENT_3D('',#37280,#29862,#29863); #26555=AXIS2_PLACEMENT_3D('',#37281,#29864,#29865); #26556=AXIS2_PLACEMENT_3D('',#37282,#29866,#29867); #26557=AXIS2_PLACEMENT_3D('',#37286,#29870,#29871); #26558=AXIS2_PLACEMENT_3D('',#37290,#29874,#29875); #26559=AXIS2_PLACEMENT_3D('',#37292,#29877,#29878); #26560=AXIS2_PLACEMENT_3D('',#37293,#29879,#29880); #26561=AXIS2_PLACEMENT_3D('',#37294,#29881,#29882); #26562=AXIS2_PLACEMENT_3D('',#37298,#29885,#29886); #26563=AXIS2_PLACEMENT_3D('',#37302,#29889,#29890); #26564=AXIS2_PLACEMENT_3D('',#37304,#29892,#29893); #26565=AXIS2_PLACEMENT_3D('',#37305,#29894,#29895); #26566=AXIS2_PLACEMENT_3D('',#37306,#29896,#29897); #26567=AXIS2_PLACEMENT_3D('',#37310,#29900,#29901); #26568=AXIS2_PLACEMENT_3D('',#37314,#29904,#29905); #26569=AXIS2_PLACEMENT_3D('',#37316,#29907,#29908); #26570=AXIS2_PLACEMENT_3D('',#37317,#29909,#29910); #26571=AXIS2_PLACEMENT_3D('',#37318,#29911,#29912); #26572=AXIS2_PLACEMENT_3D('',#37322,#29915,#29916); #26573=AXIS2_PLACEMENT_3D('',#37326,#29919,#29920); #26574=AXIS2_PLACEMENT_3D('',#37328,#29922,#29923); #26575=AXIS2_PLACEMENT_3D('',#37329,#29924,#29925); #26576=AXIS2_PLACEMENT_3D('',#37330,#29926,#29927); #26577=AXIS2_PLACEMENT_3D('',#37334,#29930,#29931); #26578=AXIS2_PLACEMENT_3D('',#37338,#29934,#29935); #26579=AXIS2_PLACEMENT_3D('',#37340,#29937,#29938); #26580=AXIS2_PLACEMENT_3D('',#37341,#29939,#29940); #26581=AXIS2_PLACEMENT_3D('',#37342,#29941,#29942); #26582=AXIS2_PLACEMENT_3D('',#37346,#29945,#29946); #26583=AXIS2_PLACEMENT_3D('',#37350,#29949,#29950); #26584=AXIS2_PLACEMENT_3D('',#37352,#29952,#29953); #26585=AXIS2_PLACEMENT_3D('',#37353,#29954,#29955); #26586=AXIS2_PLACEMENT_3D('',#37354,#29956,#29957); #26587=AXIS2_PLACEMENT_3D('',#37358,#29960,#29961); #26588=AXIS2_PLACEMENT_3D('',#37362,#29964,#29965); #26589=AXIS2_PLACEMENT_3D('',#37364,#29967,#29968); #26590=AXIS2_PLACEMENT_3D('',#37365,#29969,#29970); #26591=AXIS2_PLACEMENT_3D('',#37366,#29971,#29972); #26592=AXIS2_PLACEMENT_3D('',#37370,#29975,#29976); #26593=AXIS2_PLACEMENT_3D('',#37374,#29979,#29980); #26594=AXIS2_PLACEMENT_3D('',#37376,#29982,#29983); #26595=AXIS2_PLACEMENT_3D('',#37377,#29984,#29985); #26596=AXIS2_PLACEMENT_3D('',#37378,#29986,#29987); #26597=AXIS2_PLACEMENT_3D('',#37382,#29990,#29991); #26598=AXIS2_PLACEMENT_3D('',#37386,#29994,#29995); #26599=AXIS2_PLACEMENT_3D('',#37388,#29997,#29998); #26600=AXIS2_PLACEMENT_3D('',#37389,#29999,#30000); #26601=AXIS2_PLACEMENT_3D('',#37390,#30001,#30002); #26602=AXIS2_PLACEMENT_3D('',#37394,#30005,#30006); #26603=AXIS2_PLACEMENT_3D('',#37398,#30009,#30010); #26604=AXIS2_PLACEMENT_3D('',#37400,#30012,#30013); #26605=AXIS2_PLACEMENT_3D('',#37401,#30014,#30015); #26606=AXIS2_PLACEMENT_3D('',#37402,#30016,#30017); #26607=AXIS2_PLACEMENT_3D('',#37406,#30020,#30021); #26608=AXIS2_PLACEMENT_3D('',#37410,#30024,#30025); #26609=AXIS2_PLACEMENT_3D('',#37412,#30027,#30028); #26610=AXIS2_PLACEMENT_3D('',#37413,#30029,#30030); #26611=AXIS2_PLACEMENT_3D('',#37414,#30031,#30032); #26612=AXIS2_PLACEMENT_3D('',#37418,#30035,#30036); #26613=AXIS2_PLACEMENT_3D('',#37422,#30039,#30040); #26614=AXIS2_PLACEMENT_3D('',#37424,#30042,#30043); #26615=AXIS2_PLACEMENT_3D('',#37425,#30044,#30045); #26616=AXIS2_PLACEMENT_3D('',#37426,#30046,#30047); #26617=AXIS2_PLACEMENT_3D('',#37430,#30050,#30051); #26618=AXIS2_PLACEMENT_3D('',#37434,#30054,#30055); #26619=AXIS2_PLACEMENT_3D('',#37436,#30057,#30058); #26620=AXIS2_PLACEMENT_3D('',#37437,#30059,#30060); #26621=AXIS2_PLACEMENT_3D('',#37438,#30061,#30062); #26622=AXIS2_PLACEMENT_3D('',#37442,#30065,#30066); #26623=AXIS2_PLACEMENT_3D('',#37446,#30069,#30070); #26624=AXIS2_PLACEMENT_3D('',#37448,#30072,#30073); #26625=AXIS2_PLACEMENT_3D('',#37449,#30074,#30075); #26626=AXIS2_PLACEMENT_3D('',#37450,#30076,#30077); #26627=AXIS2_PLACEMENT_3D('',#37454,#30080,#30081); #26628=AXIS2_PLACEMENT_3D('',#37458,#30084,#30085); #26629=AXIS2_PLACEMENT_3D('',#37460,#30087,#30088); #26630=AXIS2_PLACEMENT_3D('',#37461,#30089,#30090); #26631=AXIS2_PLACEMENT_3D('',#37462,#30091,#30092); #26632=AXIS2_PLACEMENT_3D('',#37466,#30095,#30096); #26633=AXIS2_PLACEMENT_3D('',#37470,#30099,#30100); #26634=AXIS2_PLACEMENT_3D('',#37472,#30102,#30103); #26635=AXIS2_PLACEMENT_3D('',#37473,#30104,#30105); #26636=AXIS2_PLACEMENT_3D('',#37474,#30106,#30107); #26637=AXIS2_PLACEMENT_3D('',#37478,#30110,#30111); #26638=AXIS2_PLACEMENT_3D('',#37482,#30114,#30115); #26639=AXIS2_PLACEMENT_3D('',#37484,#30117,#30118); #26640=AXIS2_PLACEMENT_3D('',#37485,#30119,#30120); #26641=AXIS2_PLACEMENT_3D('',#37486,#30121,#30122); #26642=AXIS2_PLACEMENT_3D('',#37487,#30123,#30124); #26643=AXIS2_PLACEMENT_3D('',#37488,#30125,#30126); #26644=AXIS2_PLACEMENT_3D('',#37489,#30127,#30128); #26645=AXIS2_PLACEMENT_3D('',#37490,#30129,#30130); #26646=AXIS2_PLACEMENT_3D('',#37491,#30131,#30132); #26647=AXIS2_PLACEMENT_3D('',#37492,#30133,#30134); #26648=AXIS2_PLACEMENT_3D('',#37493,#30135,#30136); #26649=AXIS2_PLACEMENT_3D('',#37494,#30137,#30138); #26650=AXIS2_PLACEMENT_3D('',#37495,#30139,#30140); #26651=AXIS2_PLACEMENT_3D('',#37496,#30141,#30142); #26652=AXIS2_PLACEMENT_3D('',#37497,#30143,#30144); #26653=AXIS2_PLACEMENT_3D('',#37498,#30145,#30146); #26654=AXIS2_PLACEMENT_3D('',#37499,#30147,#30148); #26655=AXIS2_PLACEMENT_3D('',#37500,#30149,#30150); #26656=AXIS2_PLACEMENT_3D('',#37501,#30151,#30152); #26657=AXIS2_PLACEMENT_3D('',#37502,#30153,#30154); #26658=AXIS2_PLACEMENT_3D('',#37503,#30155,#30156); #26659=AXIS2_PLACEMENT_3D('',#37504,#30157,#30158); #26660=AXIS2_PLACEMENT_3D('',#37505,#30159,#30160); #26661=AXIS2_PLACEMENT_3D('',#37506,#30161,#30162); #26662=AXIS2_PLACEMENT_3D('',#37507,#30163,#30164); #26663=AXIS2_PLACEMENT_3D('',#37508,#30165,#30166); #26664=AXIS2_PLACEMENT_3D('',#37509,#30167,#30168); #26665=AXIS2_PLACEMENT_3D('',#37510,#30169,#30170); #26666=AXIS2_PLACEMENT_3D('',#37511,#30171,#30172); #26667=AXIS2_PLACEMENT_3D('',#37512,#30173,#30174); #26668=AXIS2_PLACEMENT_3D('',#37513,#30175,#30176); #26669=AXIS2_PLACEMENT_3D('',#37514,#30177,#30178); #26670=AXIS2_PLACEMENT_3D('',#37515,#30179,#30180); #26671=AXIS2_PLACEMENT_3D('',#37516,#30181,#30182); #26672=AXIS2_PLACEMENT_3D('',#37517,#30183,#30184); #26673=AXIS2_PLACEMENT_3D('',#37518,#30185,#30186); #26674=AXIS2_PLACEMENT_3D('',#37535,#30195,#30196); #26675=AXIS2_PLACEMENT_3D('',#37538,#30199,#30200); #26676=AXIS2_PLACEMENT_3D('',#37540,#30202,#30203); #26677=AXIS2_PLACEMENT_3D('',#37542,#30205,#30206); #26678=AXIS2_PLACEMENT_3D('',#37543,#30207,#30208); #26679=AXIS2_PLACEMENT_3D('',#37549,#30212,#30213); #26680=AXIS2_PLACEMENT_3D('',#37553,#30216,#30217); #26681=AXIS2_PLACEMENT_3D('',#37557,#30220,#30221); #26682=AXIS2_PLACEMENT_3D('',#37559,#30223,#30224); #26683=AXIS2_PLACEMENT_3D('',#37560,#30225,#30226); #26684=AXIS2_PLACEMENT_3D('',#37561,#30227,#30228); #26685=AXIS2_PLACEMENT_3D('',#37564,#30229,#30230); #26686=AXIS2_PLACEMENT_3D('',#37568,#30232,#30233); #26687=AXIS2_PLACEMENT_3D('',#37570,#30235,#30236); #26688=AXIS2_PLACEMENT_3D('',#37576,#30240,#30241); #26689=AXIS2_PLACEMENT_3D('',#37582,#30245,#30246); #26690=AXIS2_PLACEMENT_3D('',#37588,#30250,#30251); #26691=AXIS2_PLACEMENT_3D('',#37594,#30255,#30256); #26692=AXIS2_PLACEMENT_3D('',#37597,#30259,#30260); #26693=AXIS2_PLACEMENT_3D('',#37598,#30261,#30262); #26694=AXIS2_PLACEMENT_3D('',#37599,#30263,#30264); #26695=AXIS2_PLACEMENT_3D('',#37602,#30265,#30266); #26696=AXIS2_PLACEMENT_3D('',#37606,#30268,#30269); #26697=AXIS2_PLACEMENT_3D('',#37608,#30271,#30272); #26698=AXIS2_PLACEMENT_3D('',#37614,#30276,#30277); #26699=AXIS2_PLACEMENT_3D('',#37620,#30281,#30282); #26700=AXIS2_PLACEMENT_3D('',#37626,#30286,#30287); #26701=AXIS2_PLACEMENT_3D('',#37632,#30291,#30292); #26702=AXIS2_PLACEMENT_3D('',#37635,#30295,#30296); #26703=AXIS2_PLACEMENT_3D('',#37636,#30297,#30298); #26704=AXIS2_PLACEMENT_3D('',#37637,#30299,#30300); #26705=AXIS2_PLACEMENT_3D('',#37640,#30301,#30302); #26706=AXIS2_PLACEMENT_3D('',#37644,#30304,#30305); #26707=AXIS2_PLACEMENT_3D('',#37646,#30307,#30308); #26708=AXIS2_PLACEMENT_3D('',#37652,#30312,#30313); #26709=AXIS2_PLACEMENT_3D('',#37658,#30317,#30318); #26710=AXIS2_PLACEMENT_3D('',#37664,#30322,#30323); #26711=AXIS2_PLACEMENT_3D('',#37670,#30327,#30328); #26712=AXIS2_PLACEMENT_3D('',#37673,#30331,#30332); #26713=AXIS2_PLACEMENT_3D('',#37674,#30333,#30334); #26714=AXIS2_PLACEMENT_3D('',#37675,#30335,#30336); #26715=AXIS2_PLACEMENT_3D('',#37678,#30337,#30338); #26716=AXIS2_PLACEMENT_3D('',#37682,#30340,#30341); #26717=AXIS2_PLACEMENT_3D('',#37684,#30343,#30344); #26718=AXIS2_PLACEMENT_3D('',#37690,#30348,#30349); #26719=AXIS2_PLACEMENT_3D('',#37696,#30353,#30354); #26720=AXIS2_PLACEMENT_3D('',#37702,#30358,#30359); #26721=AXIS2_PLACEMENT_3D('',#37708,#30363,#30364); #26722=AXIS2_PLACEMENT_3D('',#37711,#30367,#30368); #26723=AXIS2_PLACEMENT_3D('',#37712,#30369,#30370); #26724=AXIS2_PLACEMENT_3D('',#37713,#30371,#30372); #26725=AXIS2_PLACEMENT_3D('',#37716,#30373,#30374); #26726=AXIS2_PLACEMENT_3D('',#37720,#30376,#30377); #26727=AXIS2_PLACEMENT_3D('',#37722,#30379,#30380); #26728=AXIS2_PLACEMENT_3D('',#37728,#30384,#30385); #26729=AXIS2_PLACEMENT_3D('',#37734,#30389,#30390); #26730=AXIS2_PLACEMENT_3D('',#37740,#30394,#30395); #26731=AXIS2_PLACEMENT_3D('',#37746,#30399,#30400); #26732=AXIS2_PLACEMENT_3D('',#37749,#30403,#30404); #26733=AXIS2_PLACEMENT_3D('',#37750,#30405,#30406); #26734=AXIS2_PLACEMENT_3D('',#37751,#30407,#30408); #26735=AXIS2_PLACEMENT_3D('',#37754,#30409,#30410); #26736=AXIS2_PLACEMENT_3D('',#37758,#30412,#30413); #26737=AXIS2_PLACEMENT_3D('',#37760,#30415,#30416); #26738=AXIS2_PLACEMENT_3D('',#37766,#30420,#30421); #26739=AXIS2_PLACEMENT_3D('',#37772,#30425,#30426); #26740=AXIS2_PLACEMENT_3D('',#37778,#30430,#30431); #26741=AXIS2_PLACEMENT_3D('',#37784,#30435,#30436); #26742=AXIS2_PLACEMENT_3D('',#37787,#30439,#30440); #26743=AXIS2_PLACEMENT_3D('',#37788,#30441,#30442); #26744=AXIS2_PLACEMENT_3D('',#37789,#30443,#30444); #26745=AXIS2_PLACEMENT_3D('',#37792,#30445,#30446); #26746=AXIS2_PLACEMENT_3D('',#37796,#30448,#30449); #26747=AXIS2_PLACEMENT_3D('',#37798,#30451,#30452); #26748=AXIS2_PLACEMENT_3D('',#37804,#30456,#30457); #26749=AXIS2_PLACEMENT_3D('',#37810,#30461,#30462); #26750=AXIS2_PLACEMENT_3D('',#37816,#30466,#30467); #26751=AXIS2_PLACEMENT_3D('',#37822,#30471,#30472); #26752=AXIS2_PLACEMENT_3D('',#37825,#30475,#30476); #26753=AXIS2_PLACEMENT_3D('',#37826,#30477,#30478); #26754=AXIS2_PLACEMENT_3D('',#37827,#30479,#30480); #26755=AXIS2_PLACEMENT_3D('',#37830,#30481,#30482); #26756=AXIS2_PLACEMENT_3D('',#37834,#30484,#30485); #26757=AXIS2_PLACEMENT_3D('',#37836,#30487,#30488); #26758=AXIS2_PLACEMENT_3D('',#37842,#30492,#30493); #26759=AXIS2_PLACEMENT_3D('',#37848,#30497,#30498); #26760=AXIS2_PLACEMENT_3D('',#37854,#30502,#30503); #26761=AXIS2_PLACEMENT_3D('',#37860,#30507,#30508); #26762=AXIS2_PLACEMENT_3D('',#37863,#30511,#30512); #26763=AXIS2_PLACEMENT_3D('',#37864,#30513,#30514); #26764=AXIS2_PLACEMENT_3D('',#37865,#30515,#30516); #26765=AXIS2_PLACEMENT_3D('',#37866,#30517,#30518); #26766=AXIS2_PLACEMENT_3D('',#37875,#30523,#30524); #26767=AXIS2_PLACEMENT_3D('',#37877,#30525,#30526); #26768=AXIS2_PLACEMENT_3D('',#37880,#30528,#30529); #26769=AXIS2_PLACEMENT_3D('',#37881,#30530,#30531); #26770=AXIS2_PLACEMENT_3D('',#37887,#30535,#30536); #26771=AXIS2_PLACEMENT_3D('',#37889,#30537,#30538); #26772=AXIS2_PLACEMENT_3D('',#37892,#30540,#30541); #26773=AXIS2_PLACEMENT_3D('',#37893,#30542,#30543); #26774=AXIS2_PLACEMENT_3D('',#37895,#30544,#30545); #26775=AXIS2_PLACEMENT_3D('',#37898,#30547,#30548); #26776=AXIS2_PLACEMENT_3D('',#37899,#30549,#30550); #26777=AXIS2_PLACEMENT_3D('',#37905,#30554,#30555); #26778=AXIS2_PLACEMENT_3D('',#37907,#30556,#30557); #26779=AXIS2_PLACEMENT_3D('',#37910,#30559,#30560); #26780=AXIS2_PLACEMENT_3D('',#37911,#30561,#30562); #26781=AXIS2_PLACEMENT_3D('',#37913,#30563,#30564); #26782=AXIS2_PLACEMENT_3D('',#37916,#30566,#30567); #26783=AXIS2_PLACEMENT_3D('',#37917,#30568,#30569); #26784=AXIS2_PLACEMENT_3D('',#37919,#30570,#30571); #26785=AXIS2_PLACEMENT_3D('',#37922,#30573,#30574); #26786=AXIS2_PLACEMENT_3D('',#37923,#30575,#30576); #26787=AXIS2_PLACEMENT_3D('',#37925,#30577,#30578); #26788=AXIS2_PLACEMENT_3D('',#37928,#30580,#30581); #26789=AXIS2_PLACEMENT_3D('',#37929,#30582,#30583); #26790=AXIS2_PLACEMENT_3D('',#37932,#30586,#30587); #26791=AXIS2_PLACEMENT_3D('',#37935,#30588,#30589); #26792=AXIS2_PLACEMENT_3D('',#37939,#30591,#30592); #26793=AXIS2_PLACEMENT_3D('',#37941,#30594,#30595); #26794=AXIS2_PLACEMENT_3D('',#37943,#30596,#30597); #26795=AXIS2_PLACEMENT_3D('',#37946,#30599,#30600); #26796=AXIS2_PLACEMENT_3D('',#37947,#30601,#30602); #26797=AXIS2_PLACEMENT_3D('',#37953,#30606,#30607); #26798=AXIS2_PLACEMENT_3D('',#37955,#30608,#30609); #26799=AXIS2_PLACEMENT_3D('',#37958,#30611,#30612); #26800=AXIS2_PLACEMENT_3D('',#37959,#30613,#30614); #26801=AXIS2_PLACEMENT_3D('',#37965,#30618,#30619); #26802=AXIS2_PLACEMENT_3D('',#37971,#30623,#30624); #26803=AXIS2_PLACEMENT_3D('',#37973,#30625,#30626); #26804=AXIS2_PLACEMENT_3D('',#37976,#30628,#30629); #26805=AXIS2_PLACEMENT_3D('',#37977,#30630,#30631); #26806=AXIS2_PLACEMENT_3D('',#37979,#30632,#30633); #26807=AXIS2_PLACEMENT_3D('',#37982,#30635,#30636); #26808=AXIS2_PLACEMENT_3D('',#37983,#30637,#30638); #26809=AXIS2_PLACEMENT_3D('',#37985,#30639,#30640); #26810=AXIS2_PLACEMENT_3D('',#37988,#30642,#30643); #26811=AXIS2_PLACEMENT_3D('',#37989,#30644,#30645); #26812=AXIS2_PLACEMENT_3D('',#37991,#30646,#30647); #26813=AXIS2_PLACEMENT_3D('',#37994,#30649,#30650); #26814=AXIS2_PLACEMENT_3D('',#37995,#30651,#30652); #26815=AXIS2_PLACEMENT_3D('',#37998,#30655,#30656); #26816=AXIS2_PLACEMENT_3D('',#37999,#30657,#30658); #26817=AXIS2_PLACEMENT_3D('',#38002,#30659,#30660); #26818=AXIS2_PLACEMENT_3D('',#38006,#30662,#30663); #26819=AXIS2_PLACEMENT_3D('',#38008,#30665,#30666); #26820=AXIS2_PLACEMENT_3D('',#38014,#30670,#30671); #26821=AXIS2_PLACEMENT_3D('',#38020,#30675,#30676); #26822=AXIS2_PLACEMENT_3D('',#38026,#30680,#30681); #26823=AXIS2_PLACEMENT_3D('',#38032,#30685,#30686); #26824=AXIS2_PLACEMENT_3D('',#38038,#30690,#30691); #26825=AXIS2_PLACEMENT_3D('',#38044,#30695,#30696); #26826=AXIS2_PLACEMENT_3D('',#38050,#30700,#30701); #26827=AXIS2_PLACEMENT_3D('',#38056,#30705,#30706); #26828=AXIS2_PLACEMENT_3D('',#38058,#30707,#30708); #26829=AXIS2_PLACEMENT_3D('',#38061,#30710,#30711); #26830=AXIS2_PLACEMENT_3D('',#38062,#30712,#30713); #26831=AXIS2_PLACEMENT_3D('',#38068,#30717,#30718); #26832=AXIS2_PLACEMENT_3D('',#38074,#30722,#30723); #26833=AXIS2_PLACEMENT_3D('',#38076,#30724,#30725); #26834=AXIS2_PLACEMENT_3D('',#38079,#30727,#30728); #26835=AXIS2_PLACEMENT_3D('',#38080,#30729,#30730); #26836=AXIS2_PLACEMENT_3D('',#38082,#30731,#30732); #26837=AXIS2_PLACEMENT_3D('',#38085,#30734,#30735); #26838=AXIS2_PLACEMENT_3D('',#38086,#30736,#30737); #26839=AXIS2_PLACEMENT_3D('',#38092,#30741,#30742); #26840=AXIS2_PLACEMENT_3D('',#38094,#30743,#30744); #26841=AXIS2_PLACEMENT_3D('',#38097,#30746,#30747); #26842=AXIS2_PLACEMENT_3D('',#38098,#30748,#30749); #26843=AXIS2_PLACEMENT_3D('',#38104,#30753,#30754); #26844=AXIS2_PLACEMENT_3D('',#38110,#30758,#30759); #26845=AXIS2_PLACEMENT_3D('',#38116,#30763,#30764); #26846=AXIS2_PLACEMENT_3D('',#38122,#30768,#30769); #26847=AXIS2_PLACEMENT_3D('',#38128,#30773,#30774); #26848=AXIS2_PLACEMENT_3D('',#38134,#30778,#30779); #26849=AXIS2_PLACEMENT_3D('',#38140,#30783,#30784); #26850=AXIS2_PLACEMENT_3D('',#38146,#30788,#30789); #26851=AXIS2_PLACEMENT_3D('',#38152,#30793,#30794); #26852=AXIS2_PLACEMENT_3D('',#38154,#30795,#30796); #26853=AXIS2_PLACEMENT_3D('',#38157,#30798,#30799); #26854=AXIS2_PLACEMENT_3D('',#38158,#30800,#30801); #26855=AXIS2_PLACEMENT_3D('',#38164,#30805,#30806); #26856=AXIS2_PLACEMENT_3D('',#38170,#30810,#30811); #26857=AXIS2_PLACEMENT_3D('',#38176,#30815,#30816); #26858=AXIS2_PLACEMENT_3D('',#38182,#30820,#30821); #26859=AXIS2_PLACEMENT_3D('',#38185,#30824,#30825); #26860=AXIS2_PLACEMENT_3D('',#38186,#30826,#30827); #26861=AXIS2_PLACEMENT_3D('',#38195,#30832,#30833); #26862=AXIS2_PLACEMENT_3D('',#38201,#30837,#30838); #26863=AXIS2_PLACEMENT_3D('',#38207,#30842,#30843); #26864=AXIS2_PLACEMENT_3D('',#38213,#30847,#30848); #26865=AXIS2_PLACEMENT_3D('',#38219,#30852,#30853); #26866=AXIS2_PLACEMENT_3D('',#38225,#30857,#30858); #26867=AXIS2_PLACEMENT_3D('',#38231,#30862,#30863); #26868=AXIS2_PLACEMENT_3D('',#38233,#30864,#30865); #26869=AXIS2_PLACEMENT_3D('',#38236,#30867,#30868); #26870=AXIS2_PLACEMENT_3D('',#38237,#30869,#30870); #26871=AXIS2_PLACEMENT_3D('',#38239,#30871,#30872); #26872=AXIS2_PLACEMENT_3D('',#38242,#30874,#30875); #26873=AXIS2_PLACEMENT_3D('',#38243,#30876,#30877); #26874=AXIS2_PLACEMENT_3D('',#38249,#30881,#30882); #26875=AXIS2_PLACEMENT_3D('',#38251,#30883,#30884); #26876=AXIS2_PLACEMENT_3D('',#38254,#30886,#30887); #26877=AXIS2_PLACEMENT_3D('',#38255,#30888,#30889); #26878=AXIS2_PLACEMENT_3D('',#38257,#30890,#30891); #26879=AXIS2_PLACEMENT_3D('',#38260,#30893,#30894); #26880=AXIS2_PLACEMENT_3D('',#38261,#30895,#30896); #26881=AXIS2_PLACEMENT_3D('',#38263,#30897,#30898); #26882=AXIS2_PLACEMENT_3D('',#38266,#30900,#30901); #26883=AXIS2_PLACEMENT_3D('',#38267,#30902,#30903); #26884=AXIS2_PLACEMENT_3D('',#38273,#30907,#30908); #26885=AXIS2_PLACEMENT_3D('',#38279,#30912,#30913); #26886=AXIS2_PLACEMENT_3D('',#38285,#30917,#30918); #26887=AXIS2_PLACEMENT_3D('',#38291,#30922,#30923); #26888=AXIS2_PLACEMENT_3D('',#38297,#30927,#30928); #26889=AXIS2_PLACEMENT_3D('',#38299,#30929,#30930); #26890=AXIS2_PLACEMENT_3D('',#38302,#30932,#30933); #26891=AXIS2_PLACEMENT_3D('',#38303,#30934,#30935); #26892=AXIS2_PLACEMENT_3D('',#38309,#30939,#30940); #26893=AXIS2_PLACEMENT_3D('',#38311,#30941,#30942); #26894=AXIS2_PLACEMENT_3D('',#38314,#30944,#30945); #26895=AXIS2_PLACEMENT_3D('',#38315,#30946,#30947); #26896=AXIS2_PLACEMENT_3D('',#38317,#30948,#30949); #26897=AXIS2_PLACEMENT_3D('',#38320,#30951,#30952); #26898=AXIS2_PLACEMENT_3D('',#38321,#30953,#30954); #26899=AXIS2_PLACEMENT_3D('',#38323,#30955,#30956); #26900=AXIS2_PLACEMENT_3D('',#38326,#30958,#30959); #26901=AXIS2_PLACEMENT_3D('',#38327,#30960,#30961); #26902=AXIS2_PLACEMENT_3D('',#38333,#30965,#30966); #26903=AXIS2_PLACEMENT_3D('',#38335,#30967,#30968); #26904=AXIS2_PLACEMENT_3D('',#38338,#30970,#30971); #26905=AXIS2_PLACEMENT_3D('',#38339,#30972,#30973); #26906=AXIS2_PLACEMENT_3D('',#38345,#30977,#30978); #26907=AXIS2_PLACEMENT_3D('',#38351,#30982,#30983); #26908=AXIS2_PLACEMENT_3D('',#38353,#30984,#30985); #26909=AXIS2_PLACEMENT_3D('',#38356,#30987,#30988); #26910=AXIS2_PLACEMENT_3D('',#38357,#30989,#30990); #26911=AXIS2_PLACEMENT_3D('',#38363,#30994,#30995); #26912=AXIS2_PLACEMENT_3D('',#38369,#30999,#31000); #26913=AXIS2_PLACEMENT_3D('',#38375,#31004,#31005); #26914=AXIS2_PLACEMENT_3D('',#38381,#31009,#31010); #26915=AXIS2_PLACEMENT_3D('',#38384,#31013,#31014); #26916=AXIS2_PLACEMENT_3D('',#38385,#31015,#31016); #26917=AXIS2_PLACEMENT_3D('',#38394,#31021,#31022); #26918=AXIS2_PLACEMENT_3D('',#38396,#31023,#31024); #26919=AXIS2_PLACEMENT_3D('',#38399,#31026,#31027); #26920=AXIS2_PLACEMENT_3D('',#38400,#31028,#31029); #26921=AXIS2_PLACEMENT_3D('',#38402,#31030,#31031); #26922=AXIS2_PLACEMENT_3D('',#38405,#31033,#31034); #26923=AXIS2_PLACEMENT_3D('',#38406,#31035,#31036); #26924=AXIS2_PLACEMENT_3D('',#38412,#31040,#31041); #26925=AXIS2_PLACEMENT_3D('',#38418,#31045,#31046); #26926=AXIS2_PLACEMENT_3D('',#38420,#31047,#31048); #26927=AXIS2_PLACEMENT_3D('',#38423,#31050,#31051); #26928=AXIS2_PLACEMENT_3D('',#38424,#31052,#31053); #26929=AXIS2_PLACEMENT_3D('',#38426,#31054,#31055); #26930=AXIS2_PLACEMENT_3D('',#38429,#31057,#31058); #26931=AXIS2_PLACEMENT_3D('',#38430,#31059,#31060); #26932=AXIS2_PLACEMENT_3D('',#38436,#31064,#31065); #26933=AXIS2_PLACEMENT_3D('',#38438,#31066,#31067); #26934=AXIS2_PLACEMENT_3D('',#38441,#31069,#31070); #26935=AXIS2_PLACEMENT_3D('',#38442,#31071,#31072); #26936=AXIS2_PLACEMENT_3D('',#38444,#31073,#31074); #26937=AXIS2_PLACEMENT_3D('',#38447,#31076,#31077); #26938=AXIS2_PLACEMENT_3D('',#38448,#31078,#31079); #26939=AXIS2_PLACEMENT_3D('',#38454,#31083,#31084); #26940=AXIS2_PLACEMENT_3D('',#38460,#31088,#31089); #26941=AXIS2_PLACEMENT_3D('',#38462,#31090,#31091); #26942=AXIS2_PLACEMENT_3D('',#38465,#31093,#31094); #26943=AXIS2_PLACEMENT_3D('',#38466,#31095,#31096); #26944=AXIS2_PLACEMENT_3D('',#38468,#31097,#31098); #26945=AXIS2_PLACEMENT_3D('',#38471,#31100,#31101); #26946=AXIS2_PLACEMENT_3D('',#38472,#31102,#31103); #26947=AXIS2_PLACEMENT_3D('',#38478,#31107,#31108); #26948=AXIS2_PLACEMENT_3D('',#38484,#31112,#31113); #26949=AXIS2_PLACEMENT_3D('',#38490,#31117,#31118); #26950=AXIS2_PLACEMENT_3D('',#38492,#31119,#31120); #26951=AXIS2_PLACEMENT_3D('',#38495,#31122,#31123); #26952=AXIS2_PLACEMENT_3D('',#38496,#31124,#31125); #26953=AXIS2_PLACEMENT_3D('',#38502,#31129,#31130); #26954=AXIS2_PLACEMENT_3D('',#38508,#31134,#31135); #26955=AXIS2_PLACEMENT_3D('',#38514,#31139,#31140); #26956=AXIS2_PLACEMENT_3D('',#38520,#31144,#31145); #26957=AXIS2_PLACEMENT_3D('',#38526,#31149,#31150); #26958=AXIS2_PLACEMENT_3D('',#38528,#31151,#31152); #26959=AXIS2_PLACEMENT_3D('',#38531,#31154,#31155); #26960=AXIS2_PLACEMENT_3D('',#38532,#31156,#31157); #26961=AXIS2_PLACEMENT_3D('',#38538,#31161,#31162); #26962=AXIS2_PLACEMENT_3D('',#38544,#31166,#31167); #26963=AXIS2_PLACEMENT_3D('',#38550,#31171,#31172); #26964=AXIS2_PLACEMENT_3D('',#38556,#31176,#31177); #26965=AXIS2_PLACEMENT_3D('',#38562,#31181,#31182); #26966=AXIS2_PLACEMENT_3D('',#38568,#31186,#31187); #26967=AXIS2_PLACEMENT_3D('',#38574,#31191,#31192); #26968=AXIS2_PLACEMENT_3D('',#38580,#31196,#31197); #26969=AXIS2_PLACEMENT_3D('',#38586,#31201,#31202); #26970=AXIS2_PLACEMENT_3D('',#38592,#31206,#31207); #26971=AXIS2_PLACEMENT_3D('',#38598,#31211,#31212); #26972=AXIS2_PLACEMENT_3D('',#38604,#31216,#31217); #26973=AXIS2_PLACEMENT_3D('',#38606,#31218,#31219); #26974=AXIS2_PLACEMENT_3D('',#38609,#31221,#31222); #26975=AXIS2_PLACEMENT_3D('',#38610,#31223,#31224); #26976=AXIS2_PLACEMENT_3D('',#38612,#31225,#31226); #26977=AXIS2_PLACEMENT_3D('',#38615,#31228,#31229); #26978=AXIS2_PLACEMENT_3D('',#38616,#31230,#31231); #26979=AXIS2_PLACEMENT_3D('',#38622,#31235,#31236); #26980=AXIS2_PLACEMENT_3D('',#38628,#31240,#31241); #26981=AXIS2_PLACEMENT_3D('',#38634,#31245,#31246); #26982=AXIS2_PLACEMENT_3D('',#38640,#31250,#31251); #26983=AXIS2_PLACEMENT_3D('',#38642,#31252,#31253); #26984=AXIS2_PLACEMENT_3D('',#38645,#31255,#31256); #26985=AXIS2_PLACEMENT_3D('',#38646,#31257,#31258); #26986=AXIS2_PLACEMENT_3D('',#38652,#31262,#31263); #26987=AXIS2_PLACEMENT_3D('',#38658,#31267,#31268); #26988=AXIS2_PLACEMENT_3D('',#38660,#31269,#31270); #26989=AXIS2_PLACEMENT_3D('',#38663,#31272,#31273); #26990=AXIS2_PLACEMENT_3D('',#38664,#31274,#31275); #26991=AXIS2_PLACEMENT_3D('',#38670,#31279,#31280); #26992=AXIS2_PLACEMENT_3D('',#38676,#31284,#31285); #26993=AXIS2_PLACEMENT_3D('',#38678,#31286,#31287); #26994=AXIS2_PLACEMENT_3D('',#38681,#31289,#31290); #26995=AXIS2_PLACEMENT_3D('',#38682,#31291,#31292); #26996=AXIS2_PLACEMENT_3D('',#38684,#31293,#31294); #26997=AXIS2_PLACEMENT_3D('',#38687,#31296,#31297); #26998=AXIS2_PLACEMENT_3D('',#38688,#31298,#31299); #26999=AXIS2_PLACEMENT_3D('',#38694,#31303,#31304); #27000=AXIS2_PLACEMENT_3D('',#38700,#31308,#31309); #27001=AXIS2_PLACEMENT_3D('',#38702,#31310,#31311); #27002=AXIS2_PLACEMENT_3D('',#38705,#31313,#31314); #27003=AXIS2_PLACEMENT_3D('',#38706,#31315,#31316); #27004=AXIS2_PLACEMENT_3D('',#38712,#31320,#31321); #27005=AXIS2_PLACEMENT_3D('',#38718,#31325,#31326); #27006=AXIS2_PLACEMENT_3D('',#38724,#31330,#31331); #27007=AXIS2_PLACEMENT_3D('',#38730,#31335,#31336); #27008=AXIS2_PLACEMENT_3D('',#38736,#31340,#31341); #27009=AXIS2_PLACEMENT_3D('',#38742,#31345,#31346); #27010=AXIS2_PLACEMENT_3D('',#38748,#31350,#31351); #27011=AXIS2_PLACEMENT_3D('',#38750,#31352,#31353); #27012=AXIS2_PLACEMENT_3D('',#38753,#31355,#31356); #27013=AXIS2_PLACEMENT_3D('',#38754,#31357,#31358); #27014=AXIS2_PLACEMENT_3D('',#38760,#31362,#31363); #27015=AXIS2_PLACEMENT_3D('',#38766,#31367,#31368); #27016=AXIS2_PLACEMENT_3D('',#38772,#31372,#31373); #27017=AXIS2_PLACEMENT_3D('',#38774,#31374,#31375); #27018=AXIS2_PLACEMENT_3D('',#38777,#31377,#31378); #27019=AXIS2_PLACEMENT_3D('',#38778,#31379,#31380); #27020=AXIS2_PLACEMENT_3D('',#38784,#31384,#31385); #27021=AXIS2_PLACEMENT_3D('',#38790,#31389,#31390); #27022=AXIS2_PLACEMENT_3D('',#38796,#31394,#31395); #27023=AXIS2_PLACEMENT_3D('',#38802,#31399,#31400); #27024=AXIS2_PLACEMENT_3D('',#38804,#31401,#31402); #27025=AXIS2_PLACEMENT_3D('',#38807,#31404,#31405); #27026=AXIS2_PLACEMENT_3D('',#38808,#31406,#31407); #27027=AXIS2_PLACEMENT_3D('',#38814,#31411,#31412); #27028=AXIS2_PLACEMENT_3D('',#38820,#31416,#31417); #27029=AXIS2_PLACEMENT_3D('',#38826,#31421,#31422); #27030=AXIS2_PLACEMENT_3D('',#38832,#31426,#31427); #27031=AXIS2_PLACEMENT_3D('',#38834,#31428,#31429); #27032=AXIS2_PLACEMENT_3D('',#38837,#31431,#31432); #27033=AXIS2_PLACEMENT_3D('',#38838,#31433,#31434); #27034=AXIS2_PLACEMENT_3D('',#38844,#31438,#31439); #27035=AXIS2_PLACEMENT_3D('',#38850,#31443,#31444); #27036=AXIS2_PLACEMENT_3D('',#38856,#31448,#31449); #27037=AXIS2_PLACEMENT_3D('',#38858,#31450,#31451); #27038=AXIS2_PLACEMENT_3D('',#38861,#31453,#31454); #27039=AXIS2_PLACEMENT_3D('',#38862,#31455,#31456); #27040=AXIS2_PLACEMENT_3D('',#38868,#31460,#31461); #27041=AXIS2_PLACEMENT_3D('',#38874,#31465,#31466); #27042=AXIS2_PLACEMENT_3D('',#38876,#31467,#31468); #27043=AXIS2_PLACEMENT_3D('',#38879,#31470,#31471); #27044=AXIS2_PLACEMENT_3D('',#38880,#31472,#31473); #27045=AXIS2_PLACEMENT_3D('',#38886,#31477,#31478); #27046=AXIS2_PLACEMENT_3D('',#38889,#31481,#31482); #27047=AXIS2_PLACEMENT_3D('',#38890,#31483,#31484); #27048=AXIS2_PLACEMENT_3D('',#38893,#31485,#31486); #27049=AXIS2_PLACEMENT_3D('',#38897,#31488,#31489); #27050=AXIS2_PLACEMENT_3D('',#38899,#31491,#31492); #27051=AXIS2_PLACEMENT_3D('',#38901,#31493,#31494); #27052=AXIS2_PLACEMENT_3D('',#38904,#31496,#31497); #27053=AXIS2_PLACEMENT_3D('',#38905,#31498,#31499); #27054=AXIS2_PLACEMENT_3D('',#38911,#31503,#31504); #27055=AXIS2_PLACEMENT_3D('',#38913,#31505,#31506); #27056=AXIS2_PLACEMENT_3D('',#38916,#31508,#31509); #27057=AXIS2_PLACEMENT_3D('',#38917,#31510,#31511); #27058=AXIS2_PLACEMENT_3D('',#38919,#31512,#31513); #27059=AXIS2_PLACEMENT_3D('',#38922,#31515,#31516); #27060=AXIS2_PLACEMENT_3D('',#38923,#31517,#31518); #27061=AXIS2_PLACEMENT_3D('',#38925,#31519,#31520); #27062=AXIS2_PLACEMENT_3D('',#38928,#31522,#31523); #27063=AXIS2_PLACEMENT_3D('',#38929,#31524,#31525); #27064=AXIS2_PLACEMENT_3D('',#38931,#31526,#31527); #27065=AXIS2_PLACEMENT_3D('',#38934,#31529,#31530); #27066=AXIS2_PLACEMENT_3D('',#38935,#31531,#31532); #27067=AXIS2_PLACEMENT_3D('',#38937,#31533,#31534); #27068=AXIS2_PLACEMENT_3D('',#38940,#31536,#31537); #27069=AXIS2_PLACEMENT_3D('',#38941,#31538,#31539); #27070=AXIS2_PLACEMENT_3D('',#38943,#31540,#31541); #27071=AXIS2_PLACEMENT_3D('',#38946,#31543,#31544); #27072=AXIS2_PLACEMENT_3D('',#38947,#31545,#31546); #27073=AXIS2_PLACEMENT_3D('',#38949,#31547,#31548); #27074=AXIS2_PLACEMENT_3D('',#38952,#31550,#31551); #27075=AXIS2_PLACEMENT_3D('',#38953,#31552,#31553); #27076=AXIS2_PLACEMENT_3D('',#38959,#31557,#31558); #27077=AXIS2_PLACEMENT_3D('',#38961,#31559,#31560); #27078=AXIS2_PLACEMENT_3D('',#38964,#31562,#31563); #27079=AXIS2_PLACEMENT_3D('',#38965,#31564,#31565); #27080=AXIS2_PLACEMENT_3D('',#38971,#31569,#31570); #27081=AXIS2_PLACEMENT_3D('',#38977,#31574,#31575); #27082=AXIS2_PLACEMENT_3D('',#38983,#31579,#31580); #27083=AXIS2_PLACEMENT_3D('',#38985,#31581,#31582); #27084=AXIS2_PLACEMENT_3D('',#38988,#31584,#31585); #27085=AXIS2_PLACEMENT_3D('',#38989,#31586,#31587); #27086=AXIS2_PLACEMENT_3D('',#38995,#31591,#31592); #27087=AXIS2_PLACEMENT_3D('',#39001,#31596,#31597); #27088=AXIS2_PLACEMENT_3D('',#39007,#31601,#31602); #27089=AXIS2_PLACEMENT_3D('',#39013,#31606,#31607); #27090=AXIS2_PLACEMENT_3D('',#39019,#31611,#31612); #27091=AXIS2_PLACEMENT_3D('',#39021,#31613,#31614); #27092=AXIS2_PLACEMENT_3D('',#39024,#31616,#31617); #27093=AXIS2_PLACEMENT_3D('',#39025,#31618,#31619); #27094=AXIS2_PLACEMENT_3D('',#39027,#31620,#31621); #27095=AXIS2_PLACEMENT_3D('',#39030,#31623,#31624); #27096=AXIS2_PLACEMENT_3D('',#39031,#31625,#31626); #27097=AXIS2_PLACEMENT_3D('',#39033,#31627,#31628); #27098=AXIS2_PLACEMENT_3D('',#39036,#31630,#31631); #27099=AXIS2_PLACEMENT_3D('',#39037,#31632,#31633); #27100=AXIS2_PLACEMENT_3D('',#39039,#31634,#31635); #27101=AXIS2_PLACEMENT_3D('',#39042,#31637,#31638); #27102=AXIS2_PLACEMENT_3D('',#39043,#31639,#31640); #27103=AXIS2_PLACEMENT_3D('',#39045,#31641,#31642); #27104=AXIS2_PLACEMENT_3D('',#39048,#31644,#31645); #27105=AXIS2_PLACEMENT_3D('',#39049,#31646,#31647); #27106=AXIS2_PLACEMENT_3D('',#39051,#31648,#31649); #27107=AXIS2_PLACEMENT_3D('',#39054,#31651,#31652); #27108=AXIS2_PLACEMENT_3D('',#39055,#31653,#31654); #27109=AXIS2_PLACEMENT_3D('',#39057,#31655,#31656); #27110=AXIS2_PLACEMENT_3D('',#39060,#31658,#31659); #27111=AXIS2_PLACEMENT_3D('',#39061,#31660,#31661); #27112=AXIS2_PLACEMENT_3D('',#39067,#31665,#31666); #27113=AXIS2_PLACEMENT_3D('',#39069,#31667,#31668); #27114=AXIS2_PLACEMENT_3D('',#39072,#31670,#31671); #27115=AXIS2_PLACEMENT_3D('',#39073,#31672,#31673); #27116=AXIS2_PLACEMENT_3D('',#39075,#31674,#31675); #27117=AXIS2_PLACEMENT_3D('',#39078,#31677,#31678); #27118=AXIS2_PLACEMENT_3D('',#39079,#31679,#31680); #27119=AXIS2_PLACEMENT_3D('',#39081,#31681,#31682); #27120=AXIS2_PLACEMENT_3D('',#39084,#31684,#31685); #27121=AXIS2_PLACEMENT_3D('',#39085,#31686,#31687); #27122=AXIS2_PLACEMENT_3D('',#39087,#31688,#31689); #27123=AXIS2_PLACEMENT_3D('',#39090,#31691,#31692); #27124=AXIS2_PLACEMENT_3D('',#39091,#31693,#31694); #27125=AXIS2_PLACEMENT_3D('',#39093,#31695,#31696); #27126=AXIS2_PLACEMENT_3D('',#39096,#31698,#31699); #27127=AXIS2_PLACEMENT_3D('',#39097,#31700,#31701); #27128=AXIS2_PLACEMENT_3D('',#39099,#31702,#31703); #27129=AXIS2_PLACEMENT_3D('',#39102,#31705,#31706); #27130=AXIS2_PLACEMENT_3D('',#39103,#31707,#31708); #27131=AXIS2_PLACEMENT_3D('',#39105,#31709,#31710); #27132=AXIS2_PLACEMENT_3D('',#39108,#31712,#31713); #27133=AXIS2_PLACEMENT_3D('',#39109,#31714,#31715); #27134=AXIS2_PLACEMENT_3D('',#39115,#31719,#31720); #27135=AXIS2_PLACEMENT_3D('',#39117,#31721,#31722); #27136=AXIS2_PLACEMENT_3D('',#39120,#31724,#31725); #27137=AXIS2_PLACEMENT_3D('',#39121,#31726,#31727); #27138=AXIS2_PLACEMENT_3D('',#39127,#31731,#31732); #27139=AXIS2_PLACEMENT_3D('',#39133,#31736,#31737); #27140=AXIS2_PLACEMENT_3D('',#39139,#31741,#31742); #27141=AXIS2_PLACEMENT_3D('',#39145,#31746,#31747); #27142=AXIS2_PLACEMENT_3D('',#39147,#31748,#31749); #27143=AXIS2_PLACEMENT_3D('',#39150,#31751,#31752); #27144=AXIS2_PLACEMENT_3D('',#39151,#31753,#31754); #27145=AXIS2_PLACEMENT_3D('',#39157,#31758,#31759); #27146=AXIS2_PLACEMENT_3D('',#39163,#31763,#31764); #27147=AXIS2_PLACEMENT_3D('',#39169,#31768,#31769); #27148=AXIS2_PLACEMENT_3D('',#39175,#31773,#31774); #27149=AXIS2_PLACEMENT_3D('',#39177,#31775,#31776); #27150=AXIS2_PLACEMENT_3D('',#39180,#31778,#31779); #27151=AXIS2_PLACEMENT_3D('',#39181,#31780,#31781); #27152=AXIS2_PLACEMENT_3D('',#39183,#31782,#31783); #27153=AXIS2_PLACEMENT_3D('',#39186,#31785,#31786); #27154=AXIS2_PLACEMENT_3D('',#39187,#31787,#31788); #27155=AXIS2_PLACEMENT_3D('',#39189,#31789,#31790); #27156=AXIS2_PLACEMENT_3D('',#39192,#31792,#31793); #27157=AXIS2_PLACEMENT_3D('',#39193,#31794,#31795); #27158=AXIS2_PLACEMENT_3D('',#39199,#31799,#31800); #27159=AXIS2_PLACEMENT_3D('',#39201,#31801,#31802); #27160=AXIS2_PLACEMENT_3D('',#39204,#31804,#31805); #27161=AXIS2_PLACEMENT_3D('',#39205,#31806,#31807); #27162=AXIS2_PLACEMENT_3D('',#39207,#31808,#31809); #27163=AXIS2_PLACEMENT_3D('',#39210,#31811,#31812); #27164=AXIS2_PLACEMENT_3D('',#39211,#31813,#31814); #27165=AXIS2_PLACEMENT_3D('',#39212,#31815,#31816); #27166=AXIS2_PLACEMENT_3D('',#39213,#31817,#31818); #27167=AXIS2_PLACEMENT_3D('',#39214,#31819,#31820); #27168=AXIS2_PLACEMENT_3D('',#39215,#31821,#31822); #27169=AXIS2_PLACEMENT_3D('',#39224,#31827,#31828); #27170=AXIS2_PLACEMENT_3D('',#39230,#31832,#31833); #27171=AXIS2_PLACEMENT_3D('',#39232,#31834,#31835); #27172=AXIS2_PLACEMENT_3D('',#39235,#31837,#31838); #27173=AXIS2_PLACEMENT_3D('',#39236,#31839,#31840); #27174=AXIS2_PLACEMENT_3D('',#39242,#31844,#31845); #27175=AXIS2_PLACEMENT_3D('',#39248,#31849,#31850); #27176=AXIS2_PLACEMENT_3D('',#39254,#31854,#31855); #27177=AXIS2_PLACEMENT_3D('',#39260,#31859,#31860); #27178=AXIS2_PLACEMENT_3D('',#39266,#31864,#31865); #27179=AXIS2_PLACEMENT_3D('',#39272,#31869,#31870); #27180=AXIS2_PLACEMENT_3D('',#39278,#31874,#31875); #27181=AXIS2_PLACEMENT_3D('',#39280,#31876,#31877); #27182=AXIS2_PLACEMENT_3D('',#39283,#31879,#31880); #27183=AXIS2_PLACEMENT_3D('',#39284,#31881,#31882); #27184=AXIS2_PLACEMENT_3D('',#39290,#31886,#31887); #27185=AXIS2_PLACEMENT_3D('',#39296,#31891,#31892); #27186=AXIS2_PLACEMENT_3D('',#39302,#31896,#31897); #27187=AXIS2_PLACEMENT_3D('',#39308,#31901,#31902); #27188=AXIS2_PLACEMENT_3D('',#39314,#31906,#31907); #27189=AXIS2_PLACEMENT_3D('',#39316,#31908,#31909); #27190=AXIS2_PLACEMENT_3D('',#39319,#31911,#31912); #27191=AXIS2_PLACEMENT_3D('',#39320,#31913,#31914); #27192=AXIS2_PLACEMENT_3D('',#39326,#31918,#31919); #27193=AXIS2_PLACEMENT_3D('',#39332,#31923,#31924); #27194=AXIS2_PLACEMENT_3D('',#39338,#31928,#31929); #27195=AXIS2_PLACEMENT_3D('',#39344,#31933,#31934); #27196=AXIS2_PLACEMENT_3D('',#39346,#31935,#31936); #27197=AXIS2_PLACEMENT_3D('',#39349,#31938,#31939); #27198=AXIS2_PLACEMENT_3D('',#39350,#31940,#31941); #27199=AXIS2_PLACEMENT_3D('',#39356,#31945,#31946); #27200=AXIS2_PLACEMENT_3D('',#39362,#31950,#31951); #27201=AXIS2_PLACEMENT_3D('',#39368,#31955,#31956); #27202=AXIS2_PLACEMENT_3D('',#39374,#31960,#31961); #27203=AXIS2_PLACEMENT_3D('',#39380,#31965,#31966); #27204=AXIS2_PLACEMENT_3D('',#39386,#31970,#31971); #27205=AXIS2_PLACEMENT_3D('',#39392,#31975,#31976); #27206=AXIS2_PLACEMENT_3D('',#39398,#31980,#31981); #27207=AXIS2_PLACEMENT_3D('',#39404,#31985,#31986); #27208=AXIS2_PLACEMENT_3D('',#39410,#31990,#31991); #27209=AXIS2_PLACEMENT_3D('',#39412,#31992,#31993); #27210=AXIS2_PLACEMENT_3D('',#39415,#31995,#31996); #27211=AXIS2_PLACEMENT_3D('',#39416,#31997,#31998); #27212=AXIS2_PLACEMENT_3D('',#39422,#32002,#32003); #27213=AXIS2_PLACEMENT_3D('',#39428,#32007,#32008); #27214=AXIS2_PLACEMENT_3D('',#39434,#32012,#32013); #27215=AXIS2_PLACEMENT_3D('',#39440,#32017,#32018); #27216=AXIS2_PLACEMENT_3D('',#39446,#32022,#32023); #27217=AXIS2_PLACEMENT_3D('',#39452,#32027,#32028); #27218=AXIS2_PLACEMENT_3D('',#39458,#32032,#32033); #27219=AXIS2_PLACEMENT_3D('',#39464,#32037,#32038); #27220=AXIS2_PLACEMENT_3D('',#39470,#32042,#32043); #27221=AXIS2_PLACEMENT_3D('',#39472,#32044,#32045); #27222=AXIS2_PLACEMENT_3D('',#39475,#32047,#32048); #27223=AXIS2_PLACEMENT_3D('',#39476,#32049,#32050); #27224=AXIS2_PLACEMENT_3D('',#39479,#32053,#32054); #27225=AXIS2_PLACEMENT_3D('',#39480,#32055,#32056); #27226=AXIS2_PLACEMENT_3D('',#39489,#32061,#32062); #27227=AXIS2_PLACEMENT_3D('',#39495,#32066,#32067); #27228=AXIS2_PLACEMENT_3D('',#39497,#32068,#32069); #27229=AXIS2_PLACEMENT_3D('',#39500,#32071,#32072); #27230=AXIS2_PLACEMENT_3D('',#39501,#32073,#32074); #27231=AXIS2_PLACEMENT_3D('',#39507,#32078,#32079); #27232=AXIS2_PLACEMENT_3D('',#39513,#32083,#32084); #27233=AXIS2_PLACEMENT_3D('',#39519,#32088,#32089); #27234=AXIS2_PLACEMENT_3D('',#39525,#32093,#32094); #27235=AXIS2_PLACEMENT_3D('',#39531,#32098,#32099); #27236=AXIS2_PLACEMENT_3D('',#39537,#32103,#32104); #27237=AXIS2_PLACEMENT_3D('',#39543,#32108,#32109); #27238=AXIS2_PLACEMENT_3D('',#39549,#32113,#32114); #27239=AXIS2_PLACEMENT_3D('',#39555,#32118,#32119); #27240=AXIS2_PLACEMENT_3D('',#39561,#32123,#32124); #27241=AXIS2_PLACEMENT_3D('',#39567,#32128,#32129); #27242=AXIS2_PLACEMENT_3D('',#39573,#32133,#32134); #27243=AXIS2_PLACEMENT_3D('',#39579,#32138,#32139); #27244=AXIS2_PLACEMENT_3D('',#39585,#32143,#32144); #27245=AXIS2_PLACEMENT_3D('',#39591,#32148,#32149); #27246=AXIS2_PLACEMENT_3D('',#39597,#32153,#32154); #27247=AXIS2_PLACEMENT_3D('',#39603,#32158,#32159); #27248=AXIS2_PLACEMENT_3D('',#39605,#32160,#32161); #27249=AXIS2_PLACEMENT_3D('',#39608,#32163,#32164); #27250=AXIS2_PLACEMENT_3D('',#39609,#32165,#32166); #27251=AXIS2_PLACEMENT_3D('',#39615,#32170,#32171); #27252=AXIS2_PLACEMENT_3D('',#39621,#32175,#32176); #27253=AXIS2_PLACEMENT_3D('',#39627,#32180,#32181); #27254=AXIS2_PLACEMENT_3D('',#39633,#32185,#32186); #27255=AXIS2_PLACEMENT_3D('',#39639,#32190,#32191); #27256=AXIS2_PLACEMENT_3D('',#39645,#32195,#32196); #27257=AXIS2_PLACEMENT_3D('',#39647,#32197,#32198); #27258=AXIS2_PLACEMENT_3D('',#39650,#32200,#32201); #27259=AXIS2_PLACEMENT_3D('',#39651,#32202,#32203); #27260=AXIS2_PLACEMENT_3D('',#39657,#32207,#32208); #27261=AXIS2_PLACEMENT_3D('',#39663,#32212,#32213); #27262=AXIS2_PLACEMENT_3D('',#39669,#32217,#32218); #27263=AXIS2_PLACEMENT_3D('',#39675,#32222,#32223); #27264=AXIS2_PLACEMENT_3D('',#39681,#32227,#32228); #27265=AXIS2_PLACEMENT_3D('',#39687,#32232,#32233); #27266=AXIS2_PLACEMENT_3D('',#39693,#32237,#32238); #27267=AXIS2_PLACEMENT_3D('',#39699,#32242,#32243); #27268=AXIS2_PLACEMENT_3D('',#39705,#32247,#32248); #27269=AXIS2_PLACEMENT_3D('',#39711,#32252,#32253); #27270=AXIS2_PLACEMENT_3D('',#39717,#32257,#32258); #27271=AXIS2_PLACEMENT_3D('',#39723,#32262,#32263); #27272=AXIS2_PLACEMENT_3D('',#39729,#32267,#32268); #27273=AXIS2_PLACEMENT_3D('',#39735,#32272,#32273); #27274=AXIS2_PLACEMENT_3D('',#39741,#32277,#32278); #27275=AXIS2_PLACEMENT_3D('',#39747,#32282,#32283); #27276=AXIS2_PLACEMENT_3D('',#39749,#32284,#32285); #27277=AXIS2_PLACEMENT_3D('',#39752,#32287,#32288); #27278=AXIS2_PLACEMENT_3D('',#39753,#32289,#32290); #27279=AXIS2_PLACEMENT_3D('',#39759,#32294,#32295); #27280=AXIS2_PLACEMENT_3D('',#39765,#32299,#32300); #27281=AXIS2_PLACEMENT_3D('',#39771,#32304,#32305); #27282=AXIS2_PLACEMENT_3D('',#39777,#32309,#32310); #27283=AXIS2_PLACEMENT_3D('',#39783,#32314,#32315); #27284=AXIS2_PLACEMENT_3D('',#39789,#32319,#32320); #27285=AXIS2_PLACEMENT_3D('',#39791,#32321,#32322); #27286=AXIS2_PLACEMENT_3D('',#39794,#32324,#32325); #27287=AXIS2_PLACEMENT_3D('',#39795,#32326,#32327); #27288=AXIS2_PLACEMENT_3D('',#39797,#32328,#32329); #27289=AXIS2_PLACEMENT_3D('',#39800,#32331,#32332); #27290=AXIS2_PLACEMENT_3D('',#39801,#32333,#32334); #27291=AXIS2_PLACEMENT_3D('',#39804,#32337,#32338); #27292=AXIS2_PLACEMENT_3D('',#39805,#32339,#32340); #27293=AXIS2_PLACEMENT_3D('',#39814,#32345,#32346); #27294=AXIS2_PLACEMENT_3D('',#39820,#32350,#32351); #27295=AXIS2_PLACEMENT_3D('',#39826,#32355,#32356); #27296=AXIS2_PLACEMENT_3D('',#39828,#32357,#32358); #27297=AXIS2_PLACEMENT_3D('',#39831,#32360,#32361); #27298=AXIS2_PLACEMENT_3D('',#39832,#32362,#32363); #27299=AXIS2_PLACEMENT_3D('',#39838,#32367,#32368); #27300=AXIS2_PLACEMENT_3D('',#39844,#32372,#32373); #27301=AXIS2_PLACEMENT_3D('',#39846,#32374,#32375); #27302=AXIS2_PLACEMENT_3D('',#39849,#32377,#32378); #27303=AXIS2_PLACEMENT_3D('',#39850,#32379,#32380); #27304=AXIS2_PLACEMENT_3D('',#39856,#32384,#32385); #27305=AXIS2_PLACEMENT_3D('',#39862,#32389,#32390); #27306=AXIS2_PLACEMENT_3D('',#39864,#32391,#32392); #27307=AXIS2_PLACEMENT_3D('',#39867,#32394,#32395); #27308=AXIS2_PLACEMENT_3D('',#39868,#32396,#32397); #27309=AXIS2_PLACEMENT_3D('',#39870,#32398,#32399); #27310=AXIS2_PLACEMENT_3D('',#39873,#32401,#32402); #27311=AXIS2_PLACEMENT_3D('',#39874,#32403,#32404); #27312=AXIS2_PLACEMENT_3D('',#39880,#32408,#32409); #27313=AXIS2_PLACEMENT_3D('',#39886,#32413,#32414); #27314=AXIS2_PLACEMENT_3D('',#39888,#32415,#32416); #27315=AXIS2_PLACEMENT_3D('',#39891,#32418,#32419); #27316=AXIS2_PLACEMENT_3D('',#39892,#32420,#32421); #27317=AXIS2_PLACEMENT_3D('',#39894,#32422,#32423); #27318=AXIS2_PLACEMENT_3D('',#39897,#32425,#32426); #27319=AXIS2_PLACEMENT_3D('',#39898,#32427,#32428); #27320=AXIS2_PLACEMENT_3D('',#39904,#32432,#32433); #27321=AXIS2_PLACEMENT_3D('',#39910,#32437,#32438); #27322=AXIS2_PLACEMENT_3D('',#39916,#32442,#32443); #27323=AXIS2_PLACEMENT_3D('',#39922,#32447,#32448); #27324=AXIS2_PLACEMENT_3D('',#39924,#32449,#32450); #27325=AXIS2_PLACEMENT_3D('',#39927,#32452,#32453); #27326=AXIS2_PLACEMENT_3D('',#39928,#32454,#32455); #27327=AXIS2_PLACEMENT_3D('',#39930,#32456,#32457); #27328=AXIS2_PLACEMENT_3D('',#39933,#32459,#32460); #27329=AXIS2_PLACEMENT_3D('',#39934,#32461,#32462); #27330=AXIS2_PLACEMENT_3D('',#39940,#32466,#32467); #27331=AXIS2_PLACEMENT_3D('',#39946,#32471,#32472); #27332=AXIS2_PLACEMENT_3D('',#39948,#32473,#32474); #27333=AXIS2_PLACEMENT_3D('',#39951,#32476,#32477); #27334=AXIS2_PLACEMENT_3D('',#39952,#32478,#32479); #27335=AXIS2_PLACEMENT_3D('',#39958,#32483,#32484); #27336=AXIS2_PLACEMENT_3D('',#39960,#32485,#32486); #27337=AXIS2_PLACEMENT_3D('',#39963,#32488,#32489); #27338=AXIS2_PLACEMENT_3D('',#39964,#32490,#32491); #27339=AXIS2_PLACEMENT_3D('',#39966,#32492,#32493); #27340=AXIS2_PLACEMENT_3D('',#39969,#32495,#32496); #27341=AXIS2_PLACEMENT_3D('',#39970,#32497,#32498); #27342=AXIS2_PLACEMENT_3D('',#39976,#32502,#32503); #27343=AXIS2_PLACEMENT_3D('',#39982,#32507,#32508); #27344=AXIS2_PLACEMENT_3D('',#39984,#32509,#32510); #27345=AXIS2_PLACEMENT_3D('',#39987,#32512,#32513); #27346=AXIS2_PLACEMENT_3D('',#39988,#32514,#32515); #27347=AXIS2_PLACEMENT_3D('',#39990,#32516,#32517); #27348=AXIS2_PLACEMENT_3D('',#39993,#32519,#32520); #27349=AXIS2_PLACEMENT_3D('',#39994,#32521,#32522); #27350=AXIS2_PLACEMENT_3D('',#39996,#32523,#32524); #27351=AXIS2_PLACEMENT_3D('',#39999,#32526,#32527); #27352=AXIS2_PLACEMENT_3D('',#40000,#32528,#32529); #27353=AXIS2_PLACEMENT_3D('',#40006,#32533,#32534); #27354=AXIS2_PLACEMENT_3D('',#40008,#32535,#32536); #27355=AXIS2_PLACEMENT_3D('',#40011,#32538,#32539); #27356=AXIS2_PLACEMENT_3D('',#40012,#32540,#32541); #27357=AXIS2_PLACEMENT_3D('',#40018,#32545,#32546); #27358=AXIS2_PLACEMENT_3D('',#40024,#32550,#32551); #27359=AXIS2_PLACEMENT_3D('',#40030,#32555,#32556); #27360=AXIS2_PLACEMENT_3D('',#40032,#32557,#32558); #27361=AXIS2_PLACEMENT_3D('',#40035,#32560,#32561); #27362=AXIS2_PLACEMENT_3D('',#40036,#32562,#32563); #27363=AXIS2_PLACEMENT_3D('',#40042,#32567,#32568); #27364=AXIS2_PLACEMENT_3D('',#40048,#32572,#32573); #27365=AXIS2_PLACEMENT_3D('',#40054,#32577,#32578); #27366=AXIS2_PLACEMENT_3D('',#40055,#32579,#32580); #27367=AXIS2_PLACEMENT_3D('',#40056,#32581,#32582); #27368=AXIS2_PLACEMENT_3D('',#40057,#32583,#32584); #27369=AXIS2_PLACEMENT_3D('',#40058,#32585,#32586); #27370=AXIS2_PLACEMENT_3D('',#40061,#32587,#32588); #27371=AXIS2_PLACEMENT_3D('',#40065,#32590,#32591); #27372=AXIS2_PLACEMENT_3D('',#40067,#32593,#32594); #27373=AXIS2_PLACEMENT_3D('',#40069,#32595,#32596); #27374=AXIS2_PLACEMENT_3D('',#40072,#32598,#32599); #27375=AXIS2_PLACEMENT_3D('',#40073,#32600,#32601); #27376=AXIS2_PLACEMENT_3D('',#40075,#32602,#32603); #27377=AXIS2_PLACEMENT_3D('',#40078,#32605,#32606); #27378=AXIS2_PLACEMENT_3D('',#40079,#32607,#32608); #27379=AXIS2_PLACEMENT_3D('',#40081,#32609,#32610); #27380=AXIS2_PLACEMENT_3D('',#40084,#32612,#32613); #27381=AXIS2_PLACEMENT_3D('',#40085,#32614,#32615); #27382=AXIS2_PLACEMENT_3D('',#40091,#32619,#32620); #27383=AXIS2_PLACEMENT_3D('',#40093,#32621,#32622); #27384=AXIS2_PLACEMENT_3D('',#40096,#32624,#32625); #27385=AXIS2_PLACEMENT_3D('',#40097,#32626,#32627); #27386=AXIS2_PLACEMENT_3D('',#40099,#32628,#32629); #27387=AXIS2_PLACEMENT_3D('',#40102,#32631,#32632); #27388=AXIS2_PLACEMENT_3D('',#40103,#32633,#32634); #27389=AXIS2_PLACEMENT_3D('',#40105,#32635,#32636); #27390=AXIS2_PLACEMENT_3D('',#40108,#32638,#32639); #27391=AXIS2_PLACEMENT_3D('',#40109,#32640,#32641); #27392=AXIS2_PLACEMENT_3D('',#40110,#32642,#32643); #27393=AXIS2_PLACEMENT_3D('',#40111,#32644,#32645); #27394=AXIS2_PLACEMENT_3D('',#40112,#32646,#32647); #27395=AXIS2_PLACEMENT_3D('',#40115,#32648,#32649); #27396=AXIS2_PLACEMENT_3D('',#40119,#32651,#32652); #27397=AXIS2_PLACEMENT_3D('',#40121,#32654,#32655); #27398=AXIS2_PLACEMENT_3D('',#40123,#32656,#32657); #27399=AXIS2_PLACEMENT_3D('',#40126,#32659,#32660); #27400=AXIS2_PLACEMENT_3D('',#40127,#32661,#32662); #27401=AXIS2_PLACEMENT_3D('',#40129,#32663,#32664); #27402=AXIS2_PLACEMENT_3D('',#40132,#32666,#32667); #27403=AXIS2_PLACEMENT_3D('',#40133,#32668,#32669); #27404=AXIS2_PLACEMENT_3D('',#40135,#32670,#32671); #27405=AXIS2_PLACEMENT_3D('',#40138,#32673,#32674); #27406=AXIS2_PLACEMENT_3D('',#40139,#32675,#32676); #27407=AXIS2_PLACEMENT_3D('',#40145,#32680,#32681); #27408=AXIS2_PLACEMENT_3D('',#40151,#32685,#32686); #27409=AXIS2_PLACEMENT_3D('',#40153,#32687,#32688); #27410=AXIS2_PLACEMENT_3D('',#40156,#32690,#32691); #27411=AXIS2_PLACEMENT_3D('',#40157,#32692,#32693); #27412=AXIS2_PLACEMENT_3D('',#40159,#32694,#32695); #27413=AXIS2_PLACEMENT_3D('',#40162,#32697,#32698); #27414=AXIS2_PLACEMENT_3D('',#40163,#32699,#32700); #27415=AXIS2_PLACEMENT_3D('',#40165,#32701,#32702); #27416=AXIS2_PLACEMENT_3D('',#40168,#32704,#32705); #27417=AXIS2_PLACEMENT_3D('',#40169,#32706,#32707); #27418=AXIS2_PLACEMENT_3D('',#40171,#32708,#32709); #27419=AXIS2_PLACEMENT_3D('',#40174,#32711,#32712); #27420=AXIS2_PLACEMENT_3D('',#40175,#32713,#32714); #27421=AXIS2_PLACEMENT_3D('',#40176,#32715,#32716); #27422=AXIS2_PLACEMENT_3D('',#40177,#32717,#32718); #27423=AXIS2_PLACEMENT_3D('',#40178,#32719,#32720); #27424=AXIS2_PLACEMENT_3D('',#40179,#32721,#32722); #27425=AXIS2_PLACEMENT_3D('',#40188,#32727,#32728); #27426=AXIS2_PLACEMENT_3D('',#40190,#32729,#32730); #27427=AXIS2_PLACEMENT_3D('',#40193,#32732,#32733); #27428=AXIS2_PLACEMENT_3D('',#40194,#32734,#32735); #27429=AXIS2_PLACEMENT_3D('',#40196,#32736,#32737); #27430=AXIS2_PLACEMENT_3D('',#40199,#32739,#32740); #27431=AXIS2_PLACEMENT_3D('',#40200,#32741,#32742); #27432=AXIS2_PLACEMENT_3D('',#40206,#32746,#32747); #27433=AXIS2_PLACEMENT_3D('',#40208,#32748,#32749); #27434=AXIS2_PLACEMENT_3D('',#40211,#32751,#32752); #27435=AXIS2_PLACEMENT_3D('',#40212,#32753,#32754); #27436=AXIS2_PLACEMENT_3D('',#40214,#32755,#32756); #27437=AXIS2_PLACEMENT_3D('',#40217,#32758,#32759); #27438=AXIS2_PLACEMENT_3D('',#40218,#32760,#32761); #27439=AXIS2_PLACEMENT_3D('',#40224,#32765,#32766); #27440=AXIS2_PLACEMENT_3D('',#40226,#32767,#32768); #27441=AXIS2_PLACEMENT_3D('',#40229,#32770,#32771); #27442=AXIS2_PLACEMENT_3D('',#40230,#32772,#32773); #27443=AXIS2_PLACEMENT_3D('',#40236,#32777,#32778); #27444=AXIS2_PLACEMENT_3D('',#40242,#32782,#32783); #27445=AXIS2_PLACEMENT_3D('',#40248,#32787,#32788); #27446=AXIS2_PLACEMENT_3D('',#40250,#32789,#32790); #27447=AXIS2_PLACEMENT_3D('',#40253,#32792,#32793); #27448=AXIS2_PLACEMENT_3D('',#40254,#32794,#32795); #27449=AXIS2_PLACEMENT_3D('',#40260,#32799,#32800); #27450=AXIS2_PLACEMENT_3D('',#40266,#32804,#32805); #27451=AXIS2_PLACEMENT_3D('',#40272,#32809,#32810); #27452=AXIS2_PLACEMENT_3D('',#40278,#32814,#32815); #27453=AXIS2_PLACEMENT_3D('',#40280,#32816,#32817); #27454=AXIS2_PLACEMENT_3D('',#40283,#32819,#32820); #27455=AXIS2_PLACEMENT_3D('',#40284,#32821,#32822); #27456=AXIS2_PLACEMENT_3D('',#40290,#32826,#32827); #27457=AXIS2_PLACEMENT_3D('',#40292,#32828,#32829); #27458=AXIS2_PLACEMENT_3D('',#40295,#32831,#32832); #27459=AXIS2_PLACEMENT_3D('',#40296,#32833,#32834); #27460=AXIS2_PLACEMENT_3D('',#40298,#32835,#32836); #27461=AXIS2_PLACEMENT_3D('',#40301,#32838,#32839); #27462=AXIS2_PLACEMENT_3D('',#40302,#32840,#32841); #27463=AXIS2_PLACEMENT_3D('',#40308,#32845,#32846); #27464=AXIS2_PLACEMENT_3D('',#40314,#32850,#32851); #27465=AXIS2_PLACEMENT_3D('',#40320,#32855,#32856); #27466=AXIS2_PLACEMENT_3D('',#40326,#32860,#32861); #27467=AXIS2_PLACEMENT_3D('',#40332,#32865,#32866); #27468=AXIS2_PLACEMENT_3D('',#40334,#32867,#32868); #27469=AXIS2_PLACEMENT_3D('',#40337,#32870,#32871); #27470=AXIS2_PLACEMENT_3D('',#40338,#32872,#32873); #27471=AXIS2_PLACEMENT_3D('',#40340,#32874,#32875); #27472=AXIS2_PLACEMENT_3D('',#40343,#32877,#32878); #27473=AXIS2_PLACEMENT_3D('',#40344,#32879,#32880); #27474=AXIS2_PLACEMENT_3D('',#40346,#32881,#32882); #27475=AXIS2_PLACEMENT_3D('',#40349,#32884,#32885); #27476=AXIS2_PLACEMENT_3D('',#40350,#32886,#32887); #27477=AXIS2_PLACEMENT_3D('',#40356,#32891,#32892); #27478=AXIS2_PLACEMENT_3D('',#40358,#32893,#32894); #27479=AXIS2_PLACEMENT_3D('',#40361,#32896,#32897); #27480=AXIS2_PLACEMENT_3D('',#40362,#32898,#32899); #27481=AXIS2_PLACEMENT_3D('',#40364,#32900,#32901); #27482=AXIS2_PLACEMENT_3D('',#40367,#32903,#32904); #27483=AXIS2_PLACEMENT_3D('',#40368,#32905,#32906); #27484=AXIS2_PLACEMENT_3D('',#40370,#32907,#32908); #27485=AXIS2_PLACEMENT_3D('',#40373,#32910,#32911); #27486=AXIS2_PLACEMENT_3D('',#40374,#32912,#32913); #27487=AXIS2_PLACEMENT_3D('',#40380,#32917,#32918); #27488=AXIS2_PLACEMENT_3D('',#40382,#32919,#32920); #27489=AXIS2_PLACEMENT_3D('',#40385,#32922,#32923); #27490=AXIS2_PLACEMENT_3D('',#40386,#32924,#32925); #27491=AXIS2_PLACEMENT_3D('',#40392,#32929,#32930); #27492=AXIS2_PLACEMENT_3D('',#40398,#32934,#32935); #27493=AXIS2_PLACEMENT_3D('',#40404,#32939,#32940); #27494=AXIS2_PLACEMENT_3D('',#40410,#32944,#32945); #27495=AXIS2_PLACEMENT_3D('',#40416,#32949,#32950); #27496=AXIS2_PLACEMENT_3D('',#40422,#32954,#32955); #27497=AXIS2_PLACEMENT_3D('',#40428,#32959,#32960); #27498=AXIS2_PLACEMENT_3D('',#40430,#32961,#32962); #27499=AXIS2_PLACEMENT_3D('',#40433,#32964,#32965); #27500=AXIS2_PLACEMENT_3D('',#40434,#32966,#32967); #27501=AXIS2_PLACEMENT_3D('',#40440,#32971,#32972); #27502=AXIS2_PLACEMENT_3D('',#40446,#32976,#32977); #27503=AXIS2_PLACEMENT_3D('',#40452,#32981,#32982); #27504=AXIS2_PLACEMENT_3D('',#40453,#32983,#32984); #27505=AXIS2_PLACEMENT_3D('',#40454,#32985,#32986); #27506=AXIS2_PLACEMENT_3D('',#40455,#32987,#32988); #27507=AXIS2_PLACEMENT_3D('',#40456,#32989,#32990); #27508=AXIS2_PLACEMENT_3D('',#40465,#32995,#32996); #27509=AXIS2_PLACEMENT_3D('',#40471,#33000,#33001); #27510=AXIS2_PLACEMENT_3D('',#40477,#33005,#33006); #27511=AXIS2_PLACEMENT_3D('',#40483,#33010,#33011); #27512=AXIS2_PLACEMENT_3D('',#40489,#33015,#33016); #27513=AXIS2_PLACEMENT_3D('',#40495,#33020,#33021); #27514=AXIS2_PLACEMENT_3D('',#40501,#33025,#33026); #27515=AXIS2_PLACEMENT_3D('',#40507,#33030,#33031); #27516=AXIS2_PLACEMENT_3D('',#40513,#33035,#33036); #27517=AXIS2_PLACEMENT_3D('',#40515,#33037,#33038); #27518=AXIS2_PLACEMENT_3D('',#40518,#33040,#33041); #27519=AXIS2_PLACEMENT_3D('',#40519,#33042,#33043); #27520=AXIS2_PLACEMENT_3D('',#40525,#33047,#33048); #27521=AXIS2_PLACEMENT_3D('',#40531,#33052,#33053); #27522=AXIS2_PLACEMENT_3D('',#40537,#33057,#33058); #27523=AXIS2_PLACEMENT_3D('',#40543,#33062,#33063); #27524=AXIS2_PLACEMENT_3D('',#40545,#33064,#33065); #27525=AXIS2_PLACEMENT_3D('',#40548,#33067,#33068); #27526=AXIS2_PLACEMENT_3D('',#40549,#33069,#33070); #27527=AXIS2_PLACEMENT_3D('',#40555,#33074,#33075); #27528=AXIS2_PLACEMENT_3D('',#40561,#33079,#33080); #27529=AXIS2_PLACEMENT_3D('',#40567,#33084,#33085); #27530=AXIS2_PLACEMENT_3D('',#40569,#33086,#33087); #27531=AXIS2_PLACEMENT_3D('',#40572,#33089,#33090); #27532=AXIS2_PLACEMENT_3D('',#40573,#33091,#33092); #27533=AXIS2_PLACEMENT_3D('',#40579,#33096,#33097); #27534=AXIS2_PLACEMENT_3D('',#40585,#33101,#33102); #27535=AXIS2_PLACEMENT_3D('',#40591,#33106,#33107); #27536=AXIS2_PLACEMENT_3D('',#40597,#33111,#33112); #27537=AXIS2_PLACEMENT_3D('',#40598,#33113,#33114); #27538=AXIS2_PLACEMENT_3D('',#40599,#33115,#33116); #27539=AXIS2_PLACEMENT_3D('',#40600,#33117,#33118); #27540=AXIS2_PLACEMENT_3D('',#40601,#33119,#33120); #27541=AXIS2_PLACEMENT_3D('',#40604,#33121,#33122); #27542=AXIS2_PLACEMENT_3D('',#40608,#33124,#33125); #27543=AXIS2_PLACEMENT_3D('',#40610,#33127,#33128); #27544=AXIS2_PLACEMENT_3D('',#40612,#33129,#33130); #27545=AXIS2_PLACEMENT_3D('',#40615,#33132,#33133); #27546=AXIS2_PLACEMENT_3D('',#40616,#33134,#33135); #27547=AXIS2_PLACEMENT_3D('',#40618,#33136,#33137); #27548=AXIS2_PLACEMENT_3D('',#40621,#33139,#33140); #27549=AXIS2_PLACEMENT_3D('',#40622,#33141,#33142); #27550=AXIS2_PLACEMENT_3D('',#40624,#33143,#33144); #27551=AXIS2_PLACEMENT_3D('',#40627,#33146,#33147); #27552=AXIS2_PLACEMENT_3D('',#40628,#33148,#33149); #27553=AXIS2_PLACEMENT_3D('',#40630,#33150,#33151); #27554=AXIS2_PLACEMENT_3D('',#40633,#33153,#33154); #27555=AXIS2_PLACEMENT_3D('',#40634,#33155,#33156); #27556=AXIS2_PLACEMENT_3D('',#40640,#33160,#33161); #27557=AXIS2_PLACEMENT_3D('',#40646,#33165,#33166); #27558=AXIS2_PLACEMENT_3D('',#40652,#33170,#33171); #27559=AXIS2_PLACEMENT_3D('',#40655,#33174,#33175); #27560=AXIS2_PLACEMENT_3D('',#40664,#33180,#33181); #27561=AXIS2_PLACEMENT_3D('',#40670,#33185,#33186); #27562=AXIS2_PLACEMENT_3D('',#40676,#33190,#33191); #27563=AXIS2_PLACEMENT_3D('',#40682,#33195,#33196); #27564=AXIS2_PLACEMENT_3D('',#40688,#33200,#33201); #27565=AXIS2_PLACEMENT_3D('',#40694,#33205,#33206); #27566=AXIS2_PLACEMENT_3D('',#40700,#33210,#33211); #27567=AXIS2_PLACEMENT_3D('',#40702,#33212,#33213); #27568=AXIS2_PLACEMENT_3D('',#40705,#33215,#33216); #27569=AXIS2_PLACEMENT_3D('',#40706,#33217,#33218); #27570=AXIS2_PLACEMENT_3D('',#40712,#33222,#33223); #27571=AXIS2_PLACEMENT_3D('',#40714,#33224,#33225); #27572=AXIS2_PLACEMENT_3D('',#40717,#33227,#33228); #27573=AXIS2_PLACEMENT_3D('',#40718,#33229,#33230); #27574=AXIS2_PLACEMENT_3D('',#40720,#33231,#33232); #27575=AXIS2_PLACEMENT_3D('',#40723,#33234,#33235); #27576=AXIS2_PLACEMENT_3D('',#40724,#33236,#33237); #27577=AXIS2_PLACEMENT_3D('',#40730,#33241,#33242); #27578=AXIS2_PLACEMENT_3D('',#40732,#33243,#33244); #27579=AXIS2_PLACEMENT_3D('',#40735,#33246,#33247); #27580=AXIS2_PLACEMENT_3D('',#40736,#33248,#33249); #27581=AXIS2_PLACEMENT_3D('',#40738,#33250,#33251); #27582=AXIS2_PLACEMENT_3D('',#40741,#33253,#33254); #27583=AXIS2_PLACEMENT_3D('',#40742,#33255,#33256); #27584=AXIS2_PLACEMENT_3D('',#40744,#33257,#33258); #27585=AXIS2_PLACEMENT_3D('',#40747,#33260,#33261); #27586=AXIS2_PLACEMENT_3D('',#40748,#33262,#33263); #27587=AXIS2_PLACEMENT_3D('',#40754,#33267,#33268); #27588=AXIS2_PLACEMENT_3D('',#40760,#33272,#33273); #27589=AXIS2_PLACEMENT_3D('',#40766,#33277,#33278); #27590=AXIS2_PLACEMENT_3D('',#40772,#33282,#33283); #27591=AXIS2_PLACEMENT_3D('',#40778,#33287,#33288); #27592=AXIS2_PLACEMENT_3D('',#40784,#33292,#33293); #27593=AXIS2_PLACEMENT_3D('',#40790,#33297,#33298); #27594=AXIS2_PLACEMENT_3D('',#40796,#33302,#33303); #27595=AXIS2_PLACEMENT_3D('',#40798,#33304,#33305); #27596=AXIS2_PLACEMENT_3D('',#40801,#33307,#33308); #27597=AXIS2_PLACEMENT_3D('',#40802,#33309,#33310); #27598=AXIS2_PLACEMENT_3D('',#40804,#33311,#33312); #27599=AXIS2_PLACEMENT_3D('',#40807,#33314,#33315); #27600=AXIS2_PLACEMENT_3D('',#40808,#33316,#33317); #27601=AXIS2_PLACEMENT_3D('',#40810,#33318,#33319); #27602=AXIS2_PLACEMENT_3D('',#40813,#33321,#33322); #27603=AXIS2_PLACEMENT_3D('',#40814,#33323,#33324); #27604=AXIS2_PLACEMENT_3D('',#40816,#33325,#33326); #27605=AXIS2_PLACEMENT_3D('',#40819,#33328,#33329); #27606=AXIS2_PLACEMENT_3D('',#40820,#33330,#33331); #27607=AXIS2_PLACEMENT_3D('',#40822,#33332,#33333); #27608=AXIS2_PLACEMENT_3D('',#40825,#33335,#33336); #27609=AXIS2_PLACEMENT_3D('',#40826,#33337,#33338); #27610=AXIS2_PLACEMENT_3D('',#40832,#33342,#33343); #27611=AXIS2_PLACEMENT_3D('',#40834,#33344,#33345); #27612=AXIS2_PLACEMENT_3D('',#40837,#33347,#33348); #27613=AXIS2_PLACEMENT_3D('',#40838,#33349,#33350); #27614=AXIS2_PLACEMENT_3D('',#40839,#33351,#33352); #27615=AXIS2_PLACEMENT_3D('',#40840,#33353,#33354); #27616=AXIS2_PLACEMENT_3D('',#40841,#33355,#33356); #27617=AXIS2_PLACEMENT_3D('',#40842,#33357,#33358); #27618=AXIS2_PLACEMENT_3D('',#40851,#33363,#33364); #27619=AXIS2_PLACEMENT_3D('',#40857,#33368,#33369); #27620=AXIS2_PLACEMENT_3D('',#40863,#33373,#33374); #27621=AXIS2_PLACEMENT_3D('',#40869,#33378,#33379); #27622=AXIS2_PLACEMENT_3D('',#40875,#33383,#33384); #27623=AXIS2_PLACEMENT_3D('',#40881,#33388,#33389); #27624=AXIS2_PLACEMENT_3D('',#40887,#33393,#33394); #27625=AXIS2_PLACEMENT_3D('',#40893,#33398,#33399); #27626=AXIS2_PLACEMENT_3D('',#40899,#33403,#33404); #27627=AXIS2_PLACEMENT_3D('',#40905,#33408,#33409); #27628=AXIS2_PLACEMENT_3D('',#40907,#33410,#33411); #27629=AXIS2_PLACEMENT_3D('',#40910,#33413,#33414); #27630=AXIS2_PLACEMENT_3D('',#40911,#33415,#33416); #27631=AXIS2_PLACEMENT_3D('',#40917,#33420,#33421); #27632=AXIS2_PLACEMENT_3D('',#40923,#33425,#33426); #27633=AXIS2_PLACEMENT_3D('',#40929,#33430,#33431); #27634=AXIS2_PLACEMENT_3D('',#40931,#33432,#33433); #27635=AXIS2_PLACEMENT_3D('',#40934,#33435,#33436); #27636=AXIS2_PLACEMENT_3D('',#40935,#33437,#33438); #27637=AXIS2_PLACEMENT_3D('',#40941,#33442,#33443); #27638=AXIS2_PLACEMENT_3D('',#40947,#33447,#33448); #27639=AXIS2_PLACEMENT_3D('',#40953,#33452,#33453); #27640=AXIS2_PLACEMENT_3D('',#40959,#33457,#33458); #27641=AXIS2_PLACEMENT_3D('',#40965,#33462,#33463); #27642=AXIS2_PLACEMENT_3D('',#40971,#33467,#33468); #27643=AXIS2_PLACEMENT_3D('',#40977,#33472,#33473); #27644=AXIS2_PLACEMENT_3D('',#40983,#33477,#33478); #27645=AXIS2_PLACEMENT_3D('',#40989,#33482,#33483); #27646=AXIS2_PLACEMENT_3D('',#40995,#33487,#33488); #27647=AXIS2_PLACEMENT_3D('',#41001,#33492,#33493); #27648=AXIS2_PLACEMENT_3D('',#41003,#33494,#33495); #27649=AXIS2_PLACEMENT_3D('',#41006,#33497,#33498); #27650=AXIS2_PLACEMENT_3D('',#41007,#33499,#33500); #27651=AXIS2_PLACEMENT_3D('',#41013,#33504,#33505); #27652=AXIS2_PLACEMENT_3D('',#41019,#33509,#33510); #27653=AXIS2_PLACEMENT_3D('',#41025,#33514,#33515); #27654=AXIS2_PLACEMENT_3D('',#41031,#33519,#33520); #27655=AXIS2_PLACEMENT_3D('',#41033,#33521,#33522); #27656=AXIS2_PLACEMENT_3D('',#41036,#33524,#33525); #27657=AXIS2_PLACEMENT_3D('',#41037,#33526,#33527); #27658=AXIS2_PLACEMENT_3D('',#41043,#33531,#33532); #27659=AXIS2_PLACEMENT_3D('',#41049,#33536,#33537); #27660=AXIS2_PLACEMENT_3D('',#41055,#33541,#33542); #27661=AXIS2_PLACEMENT_3D('',#41061,#33546,#33547); #27662=AXIS2_PLACEMENT_3D('',#41064,#33550,#33551); #27663=AXIS2_PLACEMENT_3D('',#41065,#33552,#33553); #27664=AXIS2_PLACEMENT_3D('',#41074,#33558,#33559); #27665=AXIS2_PLACEMENT_3D('',#41080,#33563,#33564); #27666=AXIS2_PLACEMENT_3D('',#41086,#33568,#33569); #27667=AXIS2_PLACEMENT_3D('',#41092,#33573,#33574); #27668=AXIS2_PLACEMENT_3D('',#41094,#33575,#33576); #27669=AXIS2_PLACEMENT_3D('',#41097,#33578,#33579); #27670=AXIS2_PLACEMENT_3D('',#41098,#33580,#33581); #27671=AXIS2_PLACEMENT_3D('',#41104,#33585,#33586); #27672=AXIS2_PLACEMENT_3D('',#41110,#33590,#33591); #27673=AXIS2_PLACEMENT_3D('',#41116,#33595,#33596); #27674=AXIS2_PLACEMENT_3D('',#41118,#33597,#33598); #27675=AXIS2_PLACEMENT_3D('',#41121,#33600,#33601); #27676=AXIS2_PLACEMENT_3D('',#41122,#33602,#33603); #27677=AXIS2_PLACEMENT_3D('',#41128,#33607,#33608); #27678=AXIS2_PLACEMENT_3D('',#41134,#33612,#33613); #27679=AXIS2_PLACEMENT_3D('',#41140,#33617,#33618); #27680=AXIS2_PLACEMENT_3D('',#41146,#33622,#33623); #27681=AXIS2_PLACEMENT_3D('',#41152,#33627,#33628); #27682=AXIS2_PLACEMENT_3D('',#41158,#33632,#33633); #27683=AXIS2_PLACEMENT_3D('',#41164,#33637,#33638); #27684=AXIS2_PLACEMENT_3D('',#41166,#33639,#33640); #27685=AXIS2_PLACEMENT_3D('',#41169,#33642,#33643); #27686=AXIS2_PLACEMENT_3D('',#41170,#33644,#33645); #27687=AXIS2_PLACEMENT_3D('',#41176,#33649,#33650); #27688=AXIS2_PLACEMENT_3D('',#41182,#33654,#33655); #27689=AXIS2_PLACEMENT_3D('',#41188,#33659,#33660); #27690=AXIS2_PLACEMENT_3D('',#41194,#33664,#33665); #27691=AXIS2_PLACEMENT_3D('',#41200,#33669,#33670); #27692=AXIS2_PLACEMENT_3D('',#41202,#33671,#33672); #27693=AXIS2_PLACEMENT_3D('',#41205,#33674,#33675); #27694=AXIS2_PLACEMENT_3D('',#41206,#33676,#33677); #27695=AXIS2_PLACEMENT_3D('',#41212,#33681,#33682); #27696=AXIS2_PLACEMENT_3D('',#41218,#33686,#33687); #27697=AXIS2_PLACEMENT_3D('',#41224,#33691,#33692); #27698=AXIS2_PLACEMENT_3D('',#41230,#33696,#33697); #27699=AXIS2_PLACEMENT_3D('',#41232,#33698,#33699); #27700=AXIS2_PLACEMENT_3D('',#41235,#33701,#33702); #27701=AXIS2_PLACEMENT_3D('',#41236,#33703,#33704); #27702=AXIS2_PLACEMENT_3D('',#41242,#33708,#33709); #27703=AXIS2_PLACEMENT_3D('',#41248,#33713,#33714); #27704=AXIS2_PLACEMENT_3D('',#41254,#33718,#33719); #27705=AXIS2_PLACEMENT_3D('',#41260,#33723,#33724); #27706=AXIS2_PLACEMENT_3D('',#41266,#33728,#33729); #27707=AXIS2_PLACEMENT_3D('',#41272,#33733,#33734); #27708=AXIS2_PLACEMENT_3D('',#41278,#33738,#33739); #27709=AXIS2_PLACEMENT_3D('',#41284,#33743,#33744); #27710=AXIS2_PLACEMENT_3D('',#41290,#33748,#33749); #27711=AXIS2_PLACEMENT_3D('',#41296,#33753,#33754); #27712=AXIS2_PLACEMENT_3D('',#41298,#33755,#33756); #27713=AXIS2_PLACEMENT_3D('',#41301,#33758,#33759); #27714=AXIS2_PLACEMENT_3D('',#41302,#33760,#33761); #27715=AXIS2_PLACEMENT_3D('',#41308,#33765,#33766); #27716=AXIS2_PLACEMENT_3D('',#41314,#33770,#33771); #27717=AXIS2_PLACEMENT_3D('',#41320,#33775,#33776); #27718=AXIS2_PLACEMENT_3D('',#41326,#33780,#33781); #27719=AXIS2_PLACEMENT_3D('',#41329,#33784,#33785); #27720=AXIS2_PLACEMENT_3D('',#41330,#33786,#33787); #27721=AXIS2_PLACEMENT_3D('',#41339,#33792,#33793); #27722=AXIS2_PLACEMENT_3D('',#41341,#33794,#33795); #27723=AXIS2_PLACEMENT_3D('',#41344,#33797,#33798); #27724=AXIS2_PLACEMENT_3D('',#41345,#33799,#33800); #27725=AXIS2_PLACEMENT_3D('',#41351,#33804,#33805); #27726=AXIS2_PLACEMENT_3D('',#41357,#33809,#33810); #27727=AXIS2_PLACEMENT_3D('',#41363,#33814,#33815); #27728=AXIS2_PLACEMENT_3D('',#41369,#33819,#33820); #27729=AXIS2_PLACEMENT_3D('',#41375,#33824,#33825); #27730=AXIS2_PLACEMENT_3D('',#41381,#33829,#33830); #27731=AXIS2_PLACEMENT_3D('',#41383,#33831,#33832); #27732=AXIS2_PLACEMENT_3D('',#41386,#33834,#33835); #27733=AXIS2_PLACEMENT_3D('',#41387,#33836,#33837); #27734=AXIS2_PLACEMENT_3D('',#41389,#33838,#33839); #27735=AXIS2_PLACEMENT_3D('',#41392,#33841,#33842); #27736=AXIS2_PLACEMENT_3D('',#41393,#33843,#33844); #27737=AXIS2_PLACEMENT_3D('',#41395,#33845,#33846); #27738=AXIS2_PLACEMENT_3D('',#41398,#33848,#33849); #27739=AXIS2_PLACEMENT_3D('',#41399,#33850,#33851); #27740=AXIS2_PLACEMENT_3D('',#41401,#33852,#33853); #27741=AXIS2_PLACEMENT_3D('',#41404,#33855,#33856); #27742=AXIS2_PLACEMENT_3D('',#41405,#33857,#33858); #27743=AXIS2_PLACEMENT_3D('',#41407,#33859,#33860); #27744=AXIS2_PLACEMENT_3D('',#41410,#33862,#33863); #27745=AXIS2_PLACEMENT_3D('',#41411,#33864,#33865); #27746=AXIS2_PLACEMENT_3D('',#41413,#33866,#33867); #27747=AXIS2_PLACEMENT_3D('',#41416,#33869,#33870); #27748=AXIS2_PLACEMENT_3D('',#41417,#33871,#33872); #27749=AXIS2_PLACEMENT_3D('',#41423,#33876,#33877); #27750=AXIS2_PLACEMENT_3D('',#41429,#33881,#33882); #27751=AXIS2_PLACEMENT_3D('',#41435,#33886,#33887); #27752=AXIS2_PLACEMENT_3D('',#41441,#33891,#33892); #27753=AXIS2_PLACEMENT_3D('',#41447,#33896,#33897); #27754=AXIS2_PLACEMENT_3D('',#41449,#33898,#33899); #27755=AXIS2_PLACEMENT_3D('',#41452,#33901,#33902); #27756=AXIS2_PLACEMENT_3D('',#41453,#33903,#33904); #27757=AXIS2_PLACEMENT_3D('',#41459,#33908,#33909); #27758=AXIS2_PLACEMENT_3D('',#41465,#33913,#33914); #27759=AXIS2_PLACEMENT_3D('',#41471,#33918,#33919); #27760=AXIS2_PLACEMENT_3D('',#41477,#33923,#33924); #27761=AXIS2_PLACEMENT_3D('',#41483,#33928,#33929); #27762=AXIS2_PLACEMENT_3D('',#41489,#33933,#33934); #27763=AXIS2_PLACEMENT_3D('',#41495,#33938,#33939); #27764=AXIS2_PLACEMENT_3D('',#41501,#33943,#33944); #27765=AXIS2_PLACEMENT_3D('',#41507,#33948,#33949); #27766=AXIS2_PLACEMENT_3D('',#41513,#33953,#33954); #27767=AXIS2_PLACEMENT_3D('',#41519,#33958,#33959); #27768=AXIS2_PLACEMENT_3D('',#41525,#33963,#33964); #27769=AXIS2_PLACEMENT_3D('',#41531,#33968,#33969); #27770=AXIS2_PLACEMENT_3D('',#41537,#33973,#33974); #27771=AXIS2_PLACEMENT_3D('',#41539,#33975,#33976); #27772=AXIS2_PLACEMENT_3D('',#41542,#33978,#33979); #27773=AXIS2_PLACEMENT_3D('',#41543,#33980,#33981); #27774=AXIS2_PLACEMENT_3D('',#41549,#33985,#33986); #27775=AXIS2_PLACEMENT_3D('',#41555,#33990,#33991); #27776=AXIS2_PLACEMENT_3D('',#41561,#33995,#33996); #27777=AXIS2_PLACEMENT_3D('',#41567,#34000,#34001); #27778=AXIS2_PLACEMENT_3D('',#41573,#34005,#34006); #27779=AXIS2_PLACEMENT_3D('',#41579,#34010,#34011); #27780=AXIS2_PLACEMENT_3D('',#41585,#34015,#34016); #27781=AXIS2_PLACEMENT_3D('',#41591,#34020,#34021); #27782=AXIS2_PLACEMENT_3D('',#41597,#34025,#34026); #27783=AXIS2_PLACEMENT_3D('',#41603,#34030,#34031); #27784=AXIS2_PLACEMENT_3D('',#41609,#34035,#34036); #27785=AXIS2_PLACEMENT_3D('',#41611,#34037,#34038); #27786=AXIS2_PLACEMENT_3D('',#41614,#34040,#34041); #27787=AXIS2_PLACEMENT_3D('',#41615,#34042,#34043); #27788=AXIS2_PLACEMENT_3D('',#41617,#34044,#34045); #27789=AXIS2_PLACEMENT_3D('',#41620,#34047,#34048); #27790=AXIS2_PLACEMENT_3D('',#41621,#34049,#34050); #27791=AXIS2_PLACEMENT_3D('',#41623,#34051,#34052); #27792=AXIS2_PLACEMENT_3D('',#41626,#34054,#34055); #27793=AXIS2_PLACEMENT_3D('',#41627,#34056,#34057); #27794=AXIS2_PLACEMENT_3D('',#41629,#34058,#34059); #27795=AXIS2_PLACEMENT_3D('',#41632,#34061,#34062); #27796=AXIS2_PLACEMENT_3D('',#41633,#34063,#34064); #27797=AXIS2_PLACEMENT_3D('',#41635,#34065,#34066); #27798=AXIS2_PLACEMENT_3D('',#41638,#34068,#34069); #27799=AXIS2_PLACEMENT_3D('',#41639,#34070,#34071); #27800=AXIS2_PLACEMENT_3D('',#41641,#34072,#34073); #27801=AXIS2_PLACEMENT_3D('',#41644,#34075,#34076); #27802=AXIS2_PLACEMENT_3D('',#41645,#34077,#34078); #27803=AXIS2_PLACEMENT_3D('',#41651,#34082,#34083); #27804=AXIS2_PLACEMENT_3D('',#41654,#34086,#34087); #27805=AXIS2_PLACEMENT_3D('',#41655,#34088,#34089); #27806=AXIS2_PLACEMENT_3D('',#41664,#34094,#34095); #27807=AXIS2_PLACEMENT_3D('',#41670,#34099,#34100); #27808=AXIS2_PLACEMENT_3D('',#41676,#34104,#34105); #27809=AXIS2_PLACEMENT_3D('',#41678,#34106,#34107); #27810=AXIS2_PLACEMENT_3D('',#41681,#34109,#34110); #27811=AXIS2_PLACEMENT_3D('',#41682,#34111,#34112); #27812=AXIS2_PLACEMENT_3D('',#41688,#34116,#34117); #27813=AXIS2_PLACEMENT_3D('',#41690,#34118,#34119); #27814=AXIS2_PLACEMENT_3D('',#41693,#34121,#34122); #27815=AXIS2_PLACEMENT_3D('',#41694,#34123,#34124); #27816=AXIS2_PLACEMENT_3D('',#41700,#34128,#34129); #27817=AXIS2_PLACEMENT_3D('',#41706,#34133,#34134); #27818=AXIS2_PLACEMENT_3D('',#41712,#34138,#34139); #27819=AXIS2_PLACEMENT_3D('',#41718,#34143,#34144); #27820=AXIS2_PLACEMENT_3D('',#41721,#34147,#34148); #27821=AXIS2_PLACEMENT_3D('',#41722,#34149,#34150); #27822=AXIS2_PLACEMENT_3D('',#41725,#34151,#34152); #27823=AXIS2_PLACEMENT_3D('',#41729,#34154,#34155); #27824=AXIS2_PLACEMENT_3D('',#41731,#34157,#34158); #27825=AXIS2_PLACEMENT_3D('',#41733,#34159,#34160); #27826=AXIS2_PLACEMENT_3D('',#41736,#34162,#34163); #27827=AXIS2_PLACEMENT_3D('',#41737,#34164,#34165); #27828=AXIS2_PLACEMENT_3D('',#41739,#34166,#34167); #27829=AXIS2_PLACEMENT_3D('',#41742,#34169,#34170); #27830=AXIS2_PLACEMENT_3D('',#41743,#34171,#34172); #27831=AXIS2_PLACEMENT_3D('',#41745,#34173,#34174); #27832=AXIS2_PLACEMENT_3D('',#41748,#34176,#34177); #27833=AXIS2_PLACEMENT_3D('',#41749,#34178,#34179); #27834=AXIS2_PLACEMENT_3D('',#41751,#34180,#34181); #27835=AXIS2_PLACEMENT_3D('',#41754,#34183,#34184); #27836=AXIS2_PLACEMENT_3D('',#41755,#34185,#34186); #27837=AXIS2_PLACEMENT_3D('',#41757,#34187,#34188); #27838=AXIS2_PLACEMENT_3D('',#41760,#34190,#34191); #27839=AXIS2_PLACEMENT_3D('',#41761,#34192,#34193); #27840=AXIS2_PLACEMENT_3D('',#41767,#34197,#34198); #27841=AXIS2_PLACEMENT_3D('',#41769,#34199,#34200); #27842=AXIS2_PLACEMENT_3D('',#41772,#34202,#34203); #27843=AXIS2_PLACEMENT_3D('',#41773,#34204,#34205); #27844=AXIS2_PLACEMENT_3D('',#41775,#34206,#34207); #27845=AXIS2_PLACEMENT_3D('',#41778,#34209,#34210); #27846=AXIS2_PLACEMENT_3D('',#41779,#34211,#34212); #27847=AXIS2_PLACEMENT_3D('',#41780,#34213,#34214); #27848=AXIS2_PLACEMENT_3D('',#41781,#34215,#34216); #27849=AXIS2_PLACEMENT_3D('',#41782,#34217,#34218); #27850=AXIS2_PLACEMENT_3D('',#41791,#34223,#34224); #27851=AXIS2_PLACEMENT_3D('',#41797,#34228,#34229); #27852=AXIS2_PLACEMENT_3D('',#41803,#34233,#34234); #27853=AXIS2_PLACEMENT_3D('',#41809,#34238,#34239); #27854=AXIS2_PLACEMENT_3D('',#41811,#34240,#34241); #27855=AXIS2_PLACEMENT_3D('',#41814,#34243,#34244); #27856=AXIS2_PLACEMENT_3D('',#41815,#34245,#34246); #27857=AXIS2_PLACEMENT_3D('',#41821,#34250,#34251); #27858=AXIS2_PLACEMENT_3D('',#41827,#34255,#34256); #27859=AXIS2_PLACEMENT_3D('',#41833,#34260,#34261); #27860=AXIS2_PLACEMENT_3D('',#41839,#34265,#34266); #27861=AXIS2_PLACEMENT_3D('',#41845,#34270,#34271); #27862=AXIS2_PLACEMENT_3D('',#41851,#34275,#34276); #27863=AXIS2_PLACEMENT_3D('',#41857,#34280,#34281); #27864=AXIS2_PLACEMENT_3D('',#41863,#34285,#34286); #27865=AXIS2_PLACEMENT_3D('',#41869,#34290,#34291); #27866=AXIS2_PLACEMENT_3D('',#41875,#34295,#34296); #27867=AXIS2_PLACEMENT_3D('',#41881,#34300,#34301); #27868=AXIS2_PLACEMENT_3D('',#41887,#34305,#34306); #27869=AXIS2_PLACEMENT_3D('',#41893,#34310,#34311); #27870=AXIS2_PLACEMENT_3D('',#41899,#34315,#34316); #27871=AXIS2_PLACEMENT_3D('',#41905,#34320,#34321); #27872=AXIS2_PLACEMENT_3D('',#41911,#34325,#34326); #27873=AXIS2_PLACEMENT_3D('',#41913,#34327,#34328); #27874=AXIS2_PLACEMENT_3D('',#41916,#34330,#34331); #27875=AXIS2_PLACEMENT_3D('',#41917,#34332,#34333); #27876=AXIS2_PLACEMENT_3D('',#41923,#34337,#34338); #27877=AXIS2_PLACEMENT_3D('',#41925,#34339,#34340); #27878=AXIS2_PLACEMENT_3D('',#41928,#34342,#34343); #27879=AXIS2_PLACEMENT_3D('',#41929,#34344,#34345); #27880=AXIS2_PLACEMENT_3D('',#41931,#34346,#34347); #27881=AXIS2_PLACEMENT_3D('',#41934,#34349,#34350); #27882=AXIS2_PLACEMENT_3D('',#41935,#34351,#34352); #27883=AXIS2_PLACEMENT_3D('',#41941,#34356,#34357); #27884=AXIS2_PLACEMENT_3D('',#41943,#34358,#34359); #27885=AXIS2_PLACEMENT_3D('',#41946,#34361,#34362); #27886=AXIS2_PLACEMENT_3D('',#41947,#34363,#34364); #27887=AXIS2_PLACEMENT_3D('',#41949,#34365,#34366); #27888=AXIS2_PLACEMENT_3D('',#41952,#34368,#34369); #27889=AXIS2_PLACEMENT_3D('',#41953,#34370,#34371); #27890=AXIS2_PLACEMENT_3D('',#41955,#34372,#34373); #27891=AXIS2_PLACEMENT_3D('',#41958,#34375,#34376); #27892=AXIS2_PLACEMENT_3D('',#41959,#34377,#34378); #27893=AXIS2_PLACEMENT_3D('',#41961,#34379,#34380); #27894=AXIS2_PLACEMENT_3D('',#41964,#34382,#34383); #27895=AXIS2_PLACEMENT_3D('',#41965,#34384,#34385); #27896=AXIS2_PLACEMENT_3D('',#41967,#34386,#34387); #27897=AXIS2_PLACEMENT_3D('',#41970,#34389,#34390); #27898=AXIS2_PLACEMENT_3D('',#41971,#34391,#34392); #27899=AXIS2_PLACEMENT_3D('',#41973,#34393,#34394); #27900=AXIS2_PLACEMENT_3D('',#41976,#34396,#34397); #27901=AXIS2_PLACEMENT_3D('',#41977,#34398,#34399); #27902=AXIS2_PLACEMENT_3D('',#41979,#34400,#34401); #27903=AXIS2_PLACEMENT_3D('',#41982,#34403,#34404); #27904=AXIS2_PLACEMENT_3D('',#41983,#34405,#34406); #27905=AXIS2_PLACEMENT_3D('',#41985,#34407,#34408); #27906=AXIS2_PLACEMENT_3D('',#41988,#34410,#34411); #27907=AXIS2_PLACEMENT_3D('',#41989,#34412,#34413); #27908=AXIS2_PLACEMENT_3D('',#41991,#34414,#34415); #27909=AXIS2_PLACEMENT_3D('',#41994,#34417,#34418); #27910=AXIS2_PLACEMENT_3D('',#41995,#34419,#34420); #27911=AXIS2_PLACEMENT_3D('',#42001,#34424,#34425); #27912=AXIS2_PLACEMENT_3D('',#42002,#34426,#34427); #27913=AXIS2_PLACEMENT_3D('',#42003,#34428,#34429); #27914=AXIS2_PLACEMENT_3D('',#42004,#34430,#34431); #27915=AXIS2_PLACEMENT_3D('',#42005,#34432,#34433); #27916=AXIS2_PLACEMENT_3D('',#42014,#34438,#34439); #27917=AXIS2_PLACEMENT_3D('',#42016,#34440,#34441); #27918=AXIS2_PLACEMENT_3D('',#42019,#34443,#34444); #27919=AXIS2_PLACEMENT_3D('',#42020,#34445,#34446); #27920=AXIS2_PLACEMENT_3D('',#42026,#34450,#34451); #27921=AXIS2_PLACEMENT_3D('',#42032,#34455,#34456); #27922=AXIS2_PLACEMENT_3D('',#42034,#34457,#34458); #27923=AXIS2_PLACEMENT_3D('',#42037,#34460,#34461); #27924=AXIS2_PLACEMENT_3D('',#42038,#34462,#34463); #27925=AXIS2_PLACEMENT_3D('',#42044,#34467,#34468); #27926=AXIS2_PLACEMENT_3D('',#42050,#34472,#34473); #27927=AXIS2_PLACEMENT_3D('',#42052,#34474,#34475); #27928=AXIS2_PLACEMENT_3D('',#42055,#34477,#34478); #27929=AXIS2_PLACEMENT_3D('',#42056,#34479,#34480); #27930=AXIS2_PLACEMENT_3D('',#42058,#34481,#34482); #27931=AXIS2_PLACEMENT_3D('',#42061,#34484,#34485); #27932=AXIS2_PLACEMENT_3D('',#42062,#34486,#34487); #27933=AXIS2_PLACEMENT_3D('',#42064,#34488,#34489); #27934=AXIS2_PLACEMENT_3D('',#42067,#34491,#34492); #27935=AXIS2_PLACEMENT_3D('',#42068,#34493,#34494); #27936=AXIS2_PLACEMENT_3D('',#42070,#34495,#34496); #27937=AXIS2_PLACEMENT_3D('',#42073,#34498,#34499); #27938=AXIS2_PLACEMENT_3D('',#42074,#34500,#34501); #27939=AXIS2_PLACEMENT_3D('',#42076,#34502,#34503); #27940=AXIS2_PLACEMENT_3D('',#42079,#34505,#34506); #27941=AXIS2_PLACEMENT_3D('',#42080,#34507,#34508); #27942=AXIS2_PLACEMENT_3D('',#42082,#34509,#34510); #27943=AXIS2_PLACEMENT_3D('',#42085,#34512,#34513); #27944=AXIS2_PLACEMENT_3D('',#42086,#34514,#34515); #27945=AXIS2_PLACEMENT_3D('',#42092,#34519,#34520); #27946=AXIS2_PLACEMENT_3D('',#42094,#34521,#34522); #27947=AXIS2_PLACEMENT_3D('',#42097,#34524,#34525); #27948=AXIS2_PLACEMENT_3D('',#42098,#34526,#34527); #27949=AXIS2_PLACEMENT_3D('',#42100,#34528,#34529); #27950=AXIS2_PLACEMENT_3D('',#42103,#34531,#34532); #27951=AXIS2_PLACEMENT_3D('',#42104,#34533,#34534); #27952=AXIS2_PLACEMENT_3D('',#42106,#34535,#34536); #27953=AXIS2_PLACEMENT_3D('',#42109,#34538,#34539); #27954=AXIS2_PLACEMENT_3D('',#42110,#34540,#34541); #27955=AXIS2_PLACEMENT_3D('',#42112,#34542,#34543); #27956=AXIS2_PLACEMENT_3D('',#42115,#34545,#34546); #27957=AXIS2_PLACEMENT_3D('',#42116,#34547,#34548); #27958=AXIS2_PLACEMENT_3D('',#42118,#34549,#34550); #27959=AXIS2_PLACEMENT_3D('',#42121,#34552,#34553); #27960=AXIS2_PLACEMENT_3D('',#42122,#34554,#34555); #27961=AXIS2_PLACEMENT_3D('',#42128,#34559,#34560); #27962=AXIS2_PLACEMENT_3D('',#42134,#34564,#34565); #27963=AXIS2_PLACEMENT_3D('',#42140,#34569,#34570); #27964=AXIS2_PLACEMENT_3D('',#42146,#34574,#34575); #27965=AXIS2_PLACEMENT_3D('',#42152,#34579,#34580); #27966=AXIS2_PLACEMENT_3D('',#42158,#34584,#34585); #27967=AXIS2_PLACEMENT_3D('',#42164,#34589,#34590); #27968=AXIS2_PLACEMENT_3D('',#42166,#34591,#34592); #27969=AXIS2_PLACEMENT_3D('',#42169,#34594,#34595); #27970=AXIS2_PLACEMENT_3D('',#42170,#34596,#34597); #27971=AXIS2_PLACEMENT_3D('',#42176,#34601,#34602); #27972=AXIS2_PLACEMENT_3D('',#42178,#34603,#34604); #27973=AXIS2_PLACEMENT_3D('',#42181,#34606,#34607); #27974=AXIS2_PLACEMENT_3D('',#42182,#34608,#34609); #27975=AXIS2_PLACEMENT_3D('',#42188,#34613,#34614); #27976=AXIS2_PLACEMENT_3D('',#42194,#34618,#34619); #27977=AXIS2_PLACEMENT_3D('',#42196,#34620,#34621); #27978=AXIS2_PLACEMENT_3D('',#42199,#34623,#34624); #27979=AXIS2_PLACEMENT_3D('',#42200,#34625,#34626); #27980=AXIS2_PLACEMENT_3D('',#42202,#34627,#34628); #27981=AXIS2_PLACEMENT_3D('',#42205,#34630,#34631); #27982=AXIS2_PLACEMENT_3D('',#42206,#34632,#34633); #27983=AXIS2_PLACEMENT_3D('',#42208,#34634,#34635); #27984=AXIS2_PLACEMENT_3D('',#42211,#34637,#34638); #27985=AXIS2_PLACEMENT_3D('',#42212,#34639,#34640); #27986=AXIS2_PLACEMENT_3D('',#42214,#34641,#34642); #27987=AXIS2_PLACEMENT_3D('',#42217,#34644,#34645); #27988=AXIS2_PLACEMENT_3D('',#42218,#34646,#34647); #27989=AXIS2_PLACEMENT_3D('',#42220,#34648,#34649); #27990=AXIS2_PLACEMENT_3D('',#42223,#34651,#34652); #27991=AXIS2_PLACEMENT_3D('',#42224,#34653,#34654); #27992=AXIS2_PLACEMENT_3D('',#42226,#34655,#34656); #27993=AXIS2_PLACEMENT_3D('',#42229,#34658,#34659); #27994=AXIS2_PLACEMENT_3D('',#42230,#34660,#34661); #27995=AXIS2_PLACEMENT_3D('',#42236,#34665,#34666); #27996=AXIS2_PLACEMENT_3D('',#42238,#34667,#34668); #27997=AXIS2_PLACEMENT_3D('',#42241,#34670,#34671); #27998=AXIS2_PLACEMENT_3D('',#42242,#34672,#34673); #27999=AXIS2_PLACEMENT_3D('',#42244,#34674,#34675); #28000=AXIS2_PLACEMENT_3D('',#42247,#34677,#34678); #28001=AXIS2_PLACEMENT_3D('',#42248,#34679,#34680); #28002=AXIS2_PLACEMENT_3D('',#42250,#34681,#34682); #28003=AXIS2_PLACEMENT_3D('',#42253,#34684,#34685); #28004=AXIS2_PLACEMENT_3D('',#42254,#34686,#34687); #28005=AXIS2_PLACEMENT_3D('',#42256,#34688,#34689); #28006=AXIS2_PLACEMENT_3D('',#42259,#34691,#34692); #28007=AXIS2_PLACEMENT_3D('',#42260,#34693,#34694); #28008=AXIS2_PLACEMENT_3D('',#42261,#34695,#34696); #28009=AXIS2_PLACEMENT_3D('',#42262,#34697,#34698); #28010=AXIS2_PLACEMENT_3D('',#42263,#34699,#34700); #28011=AXIS2_PLACEMENT_3D('',#42264,#34701,#34702); #28012=AXIS2_PLACEMENT_3D('',#42265,#34703,#34704); #28013=AXIS2_PLACEMENT_3D('',#42266,#34705,#34706); #28014=AXIS2_PLACEMENT_3D('',#42292,#34719,#34720); #28015=AXIS2_PLACEMENT_3D('',#42294,#34721,#34722); #28016=AXIS2_PLACEMENT_3D('',#42296,#34723,#34724); #28017=AXIS2_PLACEMENT_3D('',#42298,#34725,#34726); #28018=AXIS2_PLACEMENT_3D('',#42300,#34727,#34728); #28019=AXIS2_PLACEMENT_3D('',#42302,#34729,#34730); #28020=AXIS2_PLACEMENT_3D('',#42304,#34731,#34732); #28021=AXIS2_PLACEMENT_3D('',#42306,#34733,#34734); #28022=AXIS2_PLACEMENT_3D('',#42308,#34735,#34736); #28023=AXIS2_PLACEMENT_3D('',#42310,#34737,#34738); #28024=AXIS2_PLACEMENT_3D('',#42312,#34739,#34740); #28025=AXIS2_PLACEMENT_3D('',#42314,#34741,#34742); #28026=AXIS2_PLACEMENT_3D('',#42316,#34743,#34744); #28027=AXIS2_PLACEMENT_3D('',#42318,#34745,#34746); #28028=AXIS2_PLACEMENT_3D('',#42319,#34747,#34748); #28029=AXIS2_PLACEMENT_3D('',#42320,#34749,#34750); #28030=AXIS2_PLACEMENT_3D('',#42321,#34751,#34752); #28031=AXIS2_PLACEMENT_3D('',#42323,#34753,#34754); #28032=AXIS2_PLACEMENT_3D('',#42325,#34756,#34757); #28033=AXIS2_PLACEMENT_3D('',#42327,#34758,#34759); #28034=AXIS2_PLACEMENT_3D('',#42329,#34761,#34762); #28035=AXIS2_PLACEMENT_3D('',#42331,#34763,#34764); #28036=AXIS2_PLACEMENT_3D('',#42333,#34766,#34767); #28037=AXIS2_PLACEMENT_3D('',#42335,#34768,#34769); #28038=AXIS2_PLACEMENT_3D('',#42337,#34771,#34772); #28039=AXIS2_PLACEMENT_3D('',#42339,#34773,#34774); #28040=AXIS2_PLACEMENT_3D('',#42341,#34776,#34777); #28041=AXIS2_PLACEMENT_3D('',#42343,#34778,#34779); #28042=AXIS2_PLACEMENT_3D('',#42345,#34781,#34782); #28043=AXIS2_PLACEMENT_3D('',#42347,#34783,#34784); #28044=AXIS2_PLACEMENT_3D('',#42349,#34786,#34787); #28045=AXIS2_PLACEMENT_3D('',#42351,#34788,#34789); #28046=AXIS2_PLACEMENT_3D('',#42353,#34791,#34792); #28047=AXIS2_PLACEMENT_3D('',#42355,#34793,#34794); #28048=AXIS2_PLACEMENT_3D('',#42357,#34796,#34797); #28049=AXIS2_PLACEMENT_3D('',#42359,#34798,#34799); #28050=AXIS2_PLACEMENT_3D('',#42361,#34801,#34802); #28051=AXIS2_PLACEMENT_3D('',#42363,#34803,#34804); #28052=AXIS2_PLACEMENT_3D('',#42365,#34806,#34807); #28053=AXIS2_PLACEMENT_3D('',#42367,#34808,#34809); #28054=AXIS2_PLACEMENT_3D('',#42369,#34811,#34812); #28055=AXIS2_PLACEMENT_3D('',#42371,#34813,#34814); #28056=AXIS2_PLACEMENT_3D('',#42373,#34816,#34817); #28057=AXIS2_PLACEMENT_3D('',#42375,#34818,#34819); #28058=AXIS2_PLACEMENT_3D('',#42377,#34821,#34822); #28059=AXIS2_PLACEMENT_3D('',#42386,#34827,#34828); #28060=AXIS2_PLACEMENT_3D('',#42395,#34833,#34834); #28061=AXIS2_PLACEMENT_3D('',#42404,#34839,#34840); #28062=AXIS2_PLACEMENT_3D('',#42413,#34845,#34846); #28063=AXIS2_PLACEMENT_3D('',#42419,#34850,#34851); #28064=AXIS2_PLACEMENT_3D('',#42425,#34855,#34856); #28065=AXIS2_PLACEMENT_3D('',#42431,#34860,#34861); #28066=AXIS2_PLACEMENT_3D('',#42437,#34865,#34866); #28067=AXIS2_PLACEMENT_3D('',#42443,#34870,#34871); #28068=AXIS2_PLACEMENT_3D('',#42447,#34874,#34875); #28069=AXIS2_PLACEMENT_3D('',#42451,#34878,#34879); #28070=AXIS2_PLACEMENT_3D('',#42453,#34881,#34882); #28071=AXIS2_PLACEMENT_3D('',#42462,#34887,#34888); #28072=AXIS2_PLACEMENT_3D('',#42468,#34892,#34893); #28073=AXIS2_PLACEMENT_3D('',#42474,#34897,#34898); #28074=AXIS2_PLACEMENT_3D('',#42480,#34902,#34903); #28075=AXIS2_PLACEMENT_3D('',#42486,#34907,#34908); #28076=AXIS2_PLACEMENT_3D('',#42492,#34912,#34913); #28077=AXIS2_PLACEMENT_3D('',#42496,#34916,#34917); #28078=AXIS2_PLACEMENT_3D('',#42500,#34920,#34921); #28079=AXIS2_PLACEMENT_3D('',#42502,#34923,#34924); #28080=AXIS2_PLACEMENT_3D('',#42508,#34928,#34929); #28081=AXIS2_PLACEMENT_3D('',#42517,#34934,#34935); #28082=AXIS2_PLACEMENT_3D('',#42523,#34939,#34940); #28083=AXIS2_PLACEMENT_3D('',#42529,#34944,#34945); #28084=AXIS2_PLACEMENT_3D('',#42535,#34949,#34950); #28085=AXIS2_PLACEMENT_3D('',#42541,#34954,#34955); #28086=AXIS2_PLACEMENT_3D('',#42544,#34956,#34957); #28087=AXIS2_PLACEMENT_3D('',#42552,#34962,#34963); #28088=AXIS2_PLACEMENT_3D('',#42556,#34965,#34966); #28089=AXIS2_PLACEMENT_3D('',#42561,#34969,#34970); #28090=AXIS2_PLACEMENT_3D('',#42565,#34972,#34973); #28091=AXIS2_PLACEMENT_3D('',#42570,#34976,#34977); #28092=AXIS2_PLACEMENT_3D('',#42572,#34979,#34980); #28093=AXIS2_PLACEMENT_3D('',#42576,#34983,#34984); #28094=AXIS2_PLACEMENT_3D('',#42580,#34986,#34987); #28095=AXIS2_PLACEMENT_3D('',#42584,#34989,#34990); #28096=AXIS2_PLACEMENT_3D('',#42589,#34993,#34994); #28097=AXIS2_PLACEMENT_3D('',#42593,#34996,#34997); #28098=AXIS2_PLACEMENT_3D('',#42598,#35000,#35001); #28099=AXIS2_PLACEMENT_3D('',#42602,#35003,#35004); #28100=AXIS2_PLACEMENT_3D('',#42605,#35007,#35008); #28101=AXIS2_PLACEMENT_3D('',#42614,#35013,#35014); #28102=AXIS2_PLACEMENT_3D('',#42620,#35018,#35019); #28103=AXIS2_PLACEMENT_3D('',#42626,#35023,#35024); #28104=AXIS2_PLACEMENT_3D('',#42632,#35028,#35029); #28105=AXIS2_PLACEMENT_3D('',#42638,#35033,#35034); #28106=AXIS2_PLACEMENT_3D('',#42644,#35038,#35039); #28107=AXIS2_PLACEMENT_3D('',#42648,#35042,#35043); #28108=AXIS2_PLACEMENT_3D('',#42652,#35046,#35047); #28109=AXIS2_PLACEMENT_3D('',#42654,#35049,#35050); #28110=AXIS2_PLACEMENT_3D('',#42660,#35054,#35055); #28111=AXIS2_PLACEMENT_3D('',#42666,#35059,#35060); #28112=AXIS2_PLACEMENT_3D('',#42670,#35063,#35064); #28113=AXIS2_PLACEMENT_3D('',#42676,#35068,#35069); #28114=AXIS2_PLACEMENT_3D('',#42680,#35072,#35073); #28115=AXIS2_PLACEMENT_3D('',#42686,#35077,#35078); #28116=AXIS2_PLACEMENT_3D('',#42690,#35081,#35082); #28117=AXIS2_PLACEMENT_3D('',#42694,#35085,#35086); #28118=AXIS2_PLACEMENT_3D('',#42699,#35091,#35092); #28119=AXIS2_PLACEMENT_3D('',#42700,#35093,#35094); #28120=AXIS2_PLACEMENT_3D('',#42701,#35095,#35096); #28121=AXIS2_PLACEMENT_3D('',#42702,#35097,#35098); #28122=AXIS2_PLACEMENT_3D('',#42703,#35099,#35100); #28123=AXIS2_PLACEMENT_3D('',#42704,#35101,#35102); #28124=AXIS2_PLACEMENT_3D('',#42705,#35103,#35104); #28125=AXIS2_PLACEMENT_3D('',#42706,#35105,#35106); #28126=AXIS2_PLACEMENT_3D('',#42707,#35107,#35108); #28127=AXIS2_PLACEMENT_3D('',#42708,#35109,#35110); #28128=AXIS2_PLACEMENT_3D('',#42709,#35111,#35112); #28129=AXIS2_PLACEMENT_3D('',#42710,#35113,#35114); #28130=AXIS2_PLACEMENT_3D('',#42711,#35115,#35116); #28131=AXIS2_PLACEMENT_3D('',#42712,#35117,#35118); #28132=AXIS2_PLACEMENT_3D('',#42713,#35119,#35120); #28133=AXIS2_PLACEMENT_3D('',#42714,#35121,#35122); #28134=AXIS2_PLACEMENT_3D('',#42715,#35123,#35124); #28135=AXIS2_PLACEMENT_3D('',#42716,#35125,#35126); #28136=AXIS2_PLACEMENT_3D('',#42717,#35127,#35128); #28137=AXIS2_PLACEMENT_3D('',#42718,#35129,#35130); #28138=AXIS2_PLACEMENT_3D('',#42719,#35131,#35132); #28139=AXIS2_PLACEMENT_3D('',#42720,#35133,#35134); #28140=AXIS2_PLACEMENT_3D('',#42721,#35135,#35136); #28141=AXIS2_PLACEMENT_3D('',#42722,#35137,#35138); #28142=AXIS2_PLACEMENT_3D('',#42723,#35139,#35140); #28143=AXIS2_PLACEMENT_3D('',#42724,#35141,#35142); #28144=AXIS2_PLACEMENT_3D('',#42725,#35143,#35144); #28145=AXIS2_PLACEMENT_3D('',#42726,#35145,#35146); #28146=AXIS2_PLACEMENT_3D('',#42727,#35147,#35148); #28147=AXIS2_PLACEMENT_3D('',#42728,#35149,#35150); #28148=AXIS2_PLACEMENT_3D('',#42729,#35151,#35152); #28149=AXIS2_PLACEMENT_3D('',#42730,#35153,#35154); #28150=AXIS2_PLACEMENT_3D('',#42731,#35155,#35156); #28151=AXIS2_PLACEMENT_3D('',#42733,#35158,#35159); #28152=AXIS2_PLACEMENT_3D('',#42735,#35161,#35162); #28153=AXIS2_PLACEMENT_3D('',#42737,#35164,#35165); #28154=AXIS2_PLACEMENT_3D('',#42739,#35167,#35168); #28155=AXIS2_PLACEMENT_3D('',#42740,#35169,#35170); #28156=AXIS2_PLACEMENT_3D('',#42742,#35172,#35173); #28157=AXIS2_PLACEMENT_3D('',#42744,#35175,#35176); #28158=AXIS2_PLACEMENT_3D('',#42746,#35178,#35179); #28159=AXIS2_PLACEMENT_3D('',#42748,#35181,#35182); #28160=AXIS2_PLACEMENT_3D('',#42749,#35183,#35184); #28161=AXIS2_PLACEMENT_3D('',#42751,#35186,#35187); #28162=AXIS2_PLACEMENT_3D('',#42753,#35189,#35190); #28163=AXIS2_PLACEMENT_3D('',#42755,#35192,#35193); #28164=AXIS2_PLACEMENT_3D('',#42757,#35195,#35196); #28165=AXIS2_PLACEMENT_3D('',#42758,#35197,#35198); #28166=AXIS2_PLACEMENT_3D('',#42759,#35199,#35200); #28167=DIRECTION('axis',(0.,0.,1.)); #28168=DIRECTION('refdir',(1.,0.,0.)); #28169=DIRECTION('axis',(0.,0.,1.)); #28170=DIRECTION('refdir',(1.,0.,0.)); #28171=DIRECTION('center_axis',(0.,0.,-1.)); #28172=DIRECTION('ref_axis',(-1.,0.,0.)); #28173=DIRECTION('center_axis',(0.,0.,1.)); #28174=DIRECTION('ref_axis',(1.,0.,0.)); #28175=DIRECTION('',(0.,0.,1.)); #28176=DIRECTION('center_axis',(0.,0.,1.)); #28177=DIRECTION('ref_axis',(1.,0.,0.)); #28178=DIRECTION('',(0.,0.,-1.)); #28179=DIRECTION('center_axis',(-1.,0.,0.)); #28180=DIRECTION('ref_axis',(0.,0.,1.)); #28181=DIRECTION('',(0.,1.,0.)); #28182=DIRECTION('',(0.,1.,0.)); #28183=DIRECTION('',(0.,0.,-1.)); #28184=DIRECTION('center_axis',(1.,0.,0.)); #28185=DIRECTION('ref_axis',(0.,0.,-1.)); #28186=DIRECTION('',(0.,-1.,0.)); #28187=DIRECTION('',(0.,0.,-1.)); #28188=DIRECTION('',(0.,-1.,0.)); #28189=DIRECTION('',(0.,0.,-1.)); #28190=DIRECTION('center_axis',(0.,1.,0.)); #28191=DIRECTION('ref_axis',(0.,0.,1.)); #28192=DIRECTION('',(1.,0.,0.)); #28193=DIRECTION('',(0.,0.,-1.)); #28194=DIRECTION('',(1.,0.,0.)); #28195=DIRECTION('center_axis',(-1.,0.,0.)); #28196=DIRECTION('ref_axis',(0.,0.,1.)); #28197=DIRECTION('',(0.,1.,0.)); #28198=DIRECTION('',(0.,1.,0.)); #28199=DIRECTION('center_axis',(0.,-1.,0.)); #28200=DIRECTION('ref_axis',(0.,0.,-1.)); #28201=DIRECTION('',(-1.,0.,0.)); #28202=DIRECTION('',(-1.,0.,0.)); #28203=DIRECTION('center_axis',(0.,0.,1.)); #28204=DIRECTION('ref_axis',(1.,0.,0.)); #28205=DIRECTION('center_axis',(0.,0.,1.)); #28206=DIRECTION('ref_axis',(1.,0.,0.)); #28207=DIRECTION('center_axis',(-1.,0.,0.)); #28208=DIRECTION('ref_axis',(0.,1.,0.)); #28209=DIRECTION('',(0.,-1.,0.)); #28210=DIRECTION('',(0.,0.,-1.)); #28211=DIRECTION('',(0.,1.,0.)); #28212=DIRECTION('',(0.,0.,-1.)); #28213=DIRECTION('center_axis',(1.,0.,0.)); #28214=DIRECTION('ref_axis',(0.,-1.,0.)); #28215=DIRECTION('',(0.,1.,0.)); #28216=DIRECTION('',(0.,0.,-1.)); #28217=DIRECTION('',(0.,-1.,0.)); #28218=DIRECTION('',(0.,0.,-1.)); #28219=DIRECTION('center_axis',(1.54886024640786E-16,1.,0.)); #28220=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #28221=DIRECTION('',(-1.,1.54886024640786E-16,0.)); #28222=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #28223=DIRECTION('center_axis',(0.,0.,1.)); #28224=DIRECTION('ref_axis',(1.,0.,0.)); #28225=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28226=DIRECTION('center_axis',(0.,0.,1.)); #28227=DIRECTION('ref_axis',(1.,0.,0.)); #28228=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28229=DIRECTION('center_axis',(-1.,0.,0.)); #28230=DIRECTION('ref_axis',(0.,1.,0.)); #28231=DIRECTION('',(0.,-1.,0.)); #28232=DIRECTION('',(0.,0.,-1.)); #28233=DIRECTION('',(0.,1.,0.)); #28234=DIRECTION('',(0.,0.,-1.)); #28235=DIRECTION('center_axis',(1.,0.,0.)); #28236=DIRECTION('ref_axis',(0.,-1.,0.)); #28237=DIRECTION('',(0.,1.,0.)); #28238=DIRECTION('',(0.,0.,-1.)); #28239=DIRECTION('',(0.,-1.,0.)); #28240=DIRECTION('',(0.,0.,-1.)); #28241=DIRECTION('center_axis',(1.54886024640786E-16,1.,0.)); #28242=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #28243=DIRECTION('',(-1.,1.54886024640786E-16,0.)); #28244=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #28245=DIRECTION('center_axis',(0.,0.,1.)); #28246=DIRECTION('ref_axis',(1.,0.,0.)); #28247=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28248=DIRECTION('center_axis',(0.,0.,1.)); #28249=DIRECTION('ref_axis',(1.,0.,0.)); #28250=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28251=DIRECTION('center_axis',(-1.,0.,0.)); #28252=DIRECTION('ref_axis',(0.,1.,0.)); #28253=DIRECTION('',(0.,-1.,0.)); #28254=DIRECTION('',(0.,0.,-1.)); #28255=DIRECTION('',(0.,1.,0.)); #28256=DIRECTION('',(0.,0.,-1.)); #28257=DIRECTION('center_axis',(1.,0.,0.)); #28258=DIRECTION('ref_axis',(0.,-1.,0.)); #28259=DIRECTION('',(0.,1.,0.)); #28260=DIRECTION('',(0.,0.,-1.)); #28261=DIRECTION('',(0.,-1.,0.)); #28262=DIRECTION('',(0.,0.,-1.)); #28263=DIRECTION('center_axis',(1.54886024640786E-16,1.,0.)); #28264=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #28265=DIRECTION('',(-1.,1.54886024640786E-16,0.)); #28266=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #28267=DIRECTION('center_axis',(0.,0.,1.)); #28268=DIRECTION('ref_axis',(1.,0.,0.)); #28269=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28270=DIRECTION('center_axis',(0.,0.,1.)); #28271=DIRECTION('ref_axis',(1.,0.,0.)); #28272=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28273=DIRECTION('center_axis',(-1.,0.,0.)); #28274=DIRECTION('ref_axis',(0.,1.,0.)); #28275=DIRECTION('',(0.,-1.,0.)); #28276=DIRECTION('',(0.,0.,-1.)); #28277=DIRECTION('',(0.,1.,0.)); #28278=DIRECTION('',(0.,0.,-1.)); #28279=DIRECTION('center_axis',(1.,0.,0.)); #28280=DIRECTION('ref_axis',(0.,-1.,0.)); #28281=DIRECTION('',(0.,1.,0.)); #28282=DIRECTION('',(0.,0.,-1.)); #28283=DIRECTION('',(0.,-1.,0.)); #28284=DIRECTION('',(0.,0.,-1.)); #28285=DIRECTION('center_axis',(1.54886024640787E-16,1.,0.)); #28286=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #28287=DIRECTION('',(-1.,1.54886024640787E-16,0.)); #28288=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #28289=DIRECTION('center_axis',(0.,0.,1.)); #28290=DIRECTION('ref_axis',(1.,0.,0.)); #28291=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28292=DIRECTION('center_axis',(0.,0.,1.)); #28293=DIRECTION('ref_axis',(1.,0.,0.)); #28294=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28295=DIRECTION('center_axis',(0.,-1.,0.)); #28296=DIRECTION('ref_axis',(-1.,0.,0.)); #28297=DIRECTION('',(1.,0.,0.)); #28298=DIRECTION('',(0.,0.,-1.)); #28299=DIRECTION('',(-1.,0.,0.)); #28300=DIRECTION('',(0.,0.,-1.)); #28301=DIRECTION('center_axis',(0.,1.,0.)); #28302=DIRECTION('ref_axis',(1.,0.,0.)); #28303=DIRECTION('',(-1.,0.,0.)); #28304=DIRECTION('',(0.,0.,-1.)); #28305=DIRECTION('',(1.,0.,0.)); #28306=DIRECTION('',(0.,0.,-1.)); #28307=DIRECTION('center_axis',(-1.,0.,0.)); #28308=DIRECTION('ref_axis',(0.,1.,0.)); #28309=DIRECTION('',(0.,-1.,0.)); #28310=DIRECTION('',(0.,1.,0.)); #28311=DIRECTION('center_axis',(0.,0.,1.)); #28312=DIRECTION('ref_axis',(1.,0.,0.)); #28313=DIRECTION('',(0.,-1.,0.)); #28314=DIRECTION('center_axis',(0.,0.,1.)); #28315=DIRECTION('ref_axis',(1.,0.,0.)); #28316=DIRECTION('',(0.,-1.,0.)); #28317=DIRECTION('center_axis',(0.,-1.,0.)); #28318=DIRECTION('ref_axis',(-1.,0.,0.)); #28319=DIRECTION('',(1.,0.,0.)); #28320=DIRECTION('',(0.,0.,-1.)); #28321=DIRECTION('',(-1.,0.,0.)); #28322=DIRECTION('',(0.,0.,-1.)); #28323=DIRECTION('center_axis',(2.16840434497101E-15,1.,0.)); #28324=DIRECTION('ref_axis',(1.,-2.16840434497101E-15,0.)); #28325=DIRECTION('',(-1.,2.16840434497101E-15,0.)); #28326=DIRECTION('',(0.,0.,-1.)); #28327=DIRECTION('',(1.,-2.16840434497101E-15,0.)); #28328=DIRECTION('',(0.,0.,-1.)); #28329=DIRECTION('center_axis',(-1.,0.,0.)); #28330=DIRECTION('ref_axis',(0.,1.,0.)); #28331=DIRECTION('',(0.,-1.,0.)); #28332=DIRECTION('',(0.,1.,0.)); #28333=DIRECTION('center_axis',(0.,0.,-1.)); #28334=DIRECTION('ref_axis',(-1.,0.,0.)); #28335=DIRECTION('center_axis',(0.,0.,1.)); #28336=DIRECTION('ref_axis',(-1.,0.,0.)); #28337=DIRECTION('',(0.,-1.,0.)); #28338=DIRECTION('',(0.,-1.,0.)); #28339=DIRECTION('center_axis',(1.,0.,0.)); #28340=DIRECTION('ref_axis',(0.,-1.,0.)); #28341=DIRECTION('',(0.,1.,0.)); #28342=DIRECTION('',(0.,0.,-1.)); #28343=DIRECTION('',(0.,-1.,0.)); #28344=DIRECTION('',(0.,0.,-1.)); #28345=DIRECTION('center_axis',(-1.,0.,0.)); #28346=DIRECTION('ref_axis',(0.,1.,0.)); #28347=DIRECTION('',(0.,-1.,0.)); #28348=DIRECTION('',(0.,0.,-1.)); #28349=DIRECTION('',(0.,1.,0.)); #28350=DIRECTION('',(0.,0.,-1.)); #28351=DIRECTION('center_axis',(0.,-1.,0.)); #28352=DIRECTION('ref_axis',(-1.,0.,0.)); #28353=DIRECTION('',(1.,0.,0.)); #28354=DIRECTION('',(-1.,0.,0.)); #28355=DIRECTION('center_axis',(0.,0.,-1.)); #28356=DIRECTION('ref_axis',(-1.,0.,0.)); #28357=DIRECTION('center_axis',(0.,0.,1.)); #28358=DIRECTION('ref_axis',(-1.,0.,0.)); #28359=DIRECTION('',(1.,0.,0.)); #28360=DIRECTION('',(1.,0.,0.)); #28361=DIRECTION('center_axis',(1.,-2.16840434497101E-15,0.)); #28362=DIRECTION('ref_axis',(-2.16840434497101E-15,-1.,0.)); #28363=DIRECTION('',(2.16840434497101E-15,1.,0.)); #28364=DIRECTION('',(0.,0.,-1.)); #28365=DIRECTION('',(-2.16840434497101E-15,-1.,0.)); #28366=DIRECTION('',(0.,0.,-1.)); #28367=DIRECTION('center_axis',(-1.,0.,0.)); #28368=DIRECTION('ref_axis',(0.,1.,0.)); #28369=DIRECTION('',(0.,-1.,0.)); #28370=DIRECTION('',(0.,0.,-1.)); #28371=DIRECTION('',(0.,1.,0.)); #28372=DIRECTION('',(0.,0.,-1.)); #28373=DIRECTION('center_axis',(0.,-1.,0.)); #28374=DIRECTION('ref_axis',(-1.,0.,0.)); #28375=DIRECTION('',(1.,0.,0.)); #28376=DIRECTION('',(-1.,0.,0.)); #28377=DIRECTION('center_axis',(0.,0.,-1.)); #28378=DIRECTION('ref_axis',(-1.,0.,0.)); #28379=DIRECTION('center_axis',(0.,0.,1.)); #28380=DIRECTION('ref_axis',(-1.,0.,0.)); #28381=DIRECTION('',(1.,0.,0.)); #28382=DIRECTION('',(1.,0.,0.)); #28383=DIRECTION('center_axis',(1.,0.,0.)); #28384=DIRECTION('ref_axis',(0.,-1.,0.)); #28385=DIRECTION('',(0.,1.,0.)); #28386=DIRECTION('',(0.,0.,-1.)); #28387=DIRECTION('',(0.,-1.,0.)); #28388=DIRECTION('',(0.,0.,-1.)); #28389=DIRECTION('center_axis',(-1.,0.,0.)); #28390=DIRECTION('ref_axis',(0.,1.,0.)); #28391=DIRECTION('',(0.,-1.,0.)); #28392=DIRECTION('',(0.,0.,-1.)); #28393=DIRECTION('',(0.,1.,0.)); #28394=DIRECTION('',(0.,0.,-1.)); #28395=DIRECTION('center_axis',(0.,-1.,0.)); #28396=DIRECTION('ref_axis',(-1.,0.,0.)); #28397=DIRECTION('',(1.,0.,0.)); #28398=DIRECTION('',(-1.,0.,0.)); #28399=DIRECTION('center_axis',(0.,0.,-1.)); #28400=DIRECTION('ref_axis',(-1.,0.,0.)); #28401=DIRECTION('center_axis',(0.,0.,1.)); #28402=DIRECTION('ref_axis',(-1.,0.,0.)); #28403=DIRECTION('',(1.,0.,0.)); #28404=DIRECTION('',(1.,0.,0.)); #28405=DIRECTION('center_axis',(1.,0.,0.)); #28406=DIRECTION('ref_axis',(0.,-1.,0.)); #28407=DIRECTION('',(0.,1.,0.)); #28408=DIRECTION('',(0.,0.,-1.)); #28409=DIRECTION('',(0.,-1.,0.)); #28410=DIRECTION('',(0.,0.,-1.)); #28411=DIRECTION('center_axis',(-1.,0.,0.)); #28412=DIRECTION('ref_axis',(0.,1.,0.)); #28413=DIRECTION('',(0.,-1.,0.)); #28414=DIRECTION('',(0.,0.,-1.)); #28415=DIRECTION('',(0.,1.,0.)); #28416=DIRECTION('',(0.,0.,-1.)); #28417=DIRECTION('center_axis',(0.,-1.,0.)); #28418=DIRECTION('ref_axis',(-1.,0.,0.)); #28419=DIRECTION('',(1.,0.,0.)); #28420=DIRECTION('',(-1.,0.,0.)); #28421=DIRECTION('center_axis',(0.,0.,-1.)); #28422=DIRECTION('ref_axis',(-1.,0.,0.)); #28423=DIRECTION('center_axis',(0.,0.,1.)); #28424=DIRECTION('ref_axis',(-1.,0.,0.)); #28425=DIRECTION('',(1.,0.,0.)); #28426=DIRECTION('',(1.,0.,0.)); #28427=DIRECTION('center_axis',(1.,0.,0.)); #28428=DIRECTION('ref_axis',(0.,-1.,0.)); #28429=DIRECTION('',(0.,1.,0.)); #28430=DIRECTION('',(0.,0.,-1.)); #28431=DIRECTION('',(0.,-1.,0.)); #28432=DIRECTION('',(0.,0.,-1.)); #28433=DIRECTION('center_axis',(-1.,0.,0.)); #28434=DIRECTION('ref_axis',(0.,1.,0.)); #28435=DIRECTION('',(0.,-1.,0.)); #28436=DIRECTION('',(0.,0.,-1.)); #28437=DIRECTION('',(0.,1.,0.)); #28438=DIRECTION('',(0.,0.,-1.)); #28439=DIRECTION('center_axis',(0.,-1.,0.)); #28440=DIRECTION('ref_axis',(-1.,0.,0.)); #28441=DIRECTION('',(1.,0.,0.)); #28442=DIRECTION('',(-1.,0.,0.)); #28443=DIRECTION('center_axis',(0.,0.,-1.)); #28444=DIRECTION('ref_axis',(-1.,0.,0.)); #28445=DIRECTION('center_axis',(0.,0.,1.)); #28446=DIRECTION('ref_axis',(-1.,0.,0.)); #28447=DIRECTION('',(1.,0.,0.)); #28448=DIRECTION('',(1.,0.,0.)); #28449=DIRECTION('center_axis',(1.,0.,0.)); #28450=DIRECTION('ref_axis',(0.,-1.,0.)); #28451=DIRECTION('',(0.,1.,0.)); #28452=DIRECTION('',(0.,0.,-1.)); #28453=DIRECTION('',(0.,-1.,0.)); #28454=DIRECTION('',(0.,0.,-1.)); #28455=DIRECTION('center_axis',(-1.,0.,0.)); #28456=DIRECTION('ref_axis',(0.,1.,0.)); #28457=DIRECTION('',(0.,-1.,0.)); #28458=DIRECTION('',(0.,0.,-1.)); #28459=DIRECTION('',(0.,1.,0.)); #28460=DIRECTION('',(0.,0.,-1.)); #28461=DIRECTION('center_axis',(0.,-1.,0.)); #28462=DIRECTION('ref_axis',(-1.,0.,0.)); #28463=DIRECTION('',(1.,0.,0.)); #28464=DIRECTION('',(-1.,0.,0.)); #28465=DIRECTION('center_axis',(0.,0.,-1.)); #28466=DIRECTION('ref_axis',(-1.,0.,0.)); #28467=DIRECTION('center_axis',(0.,0.,1.)); #28468=DIRECTION('ref_axis',(-1.,0.,0.)); #28469=DIRECTION('',(1.,0.,0.)); #28470=DIRECTION('',(1.,0.,0.)); #28471=DIRECTION('center_axis',(1.,0.,0.)); #28472=DIRECTION('ref_axis',(0.,-1.,0.)); #28473=DIRECTION('',(0.,1.,0.)); #28474=DIRECTION('',(0.,0.,-1.)); #28475=DIRECTION('',(0.,-1.,0.)); #28476=DIRECTION('',(0.,0.,-1.)); #28477=DIRECTION('center_axis',(-1.,0.,0.)); #28478=DIRECTION('ref_axis',(0.,1.,0.)); #28479=DIRECTION('',(0.,-1.,0.)); #28480=DIRECTION('',(0.,0.,-1.)); #28481=DIRECTION('',(0.,1.,0.)); #28482=DIRECTION('',(0.,0.,-1.)); #28483=DIRECTION('center_axis',(0.,-1.,0.)); #28484=DIRECTION('ref_axis',(-1.,0.,0.)); #28485=DIRECTION('',(1.,0.,0.)); #28486=DIRECTION('',(-1.,0.,0.)); #28487=DIRECTION('center_axis',(0.,0.,-1.)); #28488=DIRECTION('ref_axis',(-1.,0.,0.)); #28489=DIRECTION('center_axis',(0.,0.,1.)); #28490=DIRECTION('ref_axis',(-1.,0.,0.)); #28491=DIRECTION('',(1.,0.,0.)); #28492=DIRECTION('',(1.,0.,0.)); #28493=DIRECTION('center_axis',(1.,-2.16840434497101E-15,0.)); #28494=DIRECTION('ref_axis',(-2.16840434497101E-15,-1.,0.)); #28495=DIRECTION('',(2.16840434497101E-15,1.,0.)); #28496=DIRECTION('',(0.,0.,-1.)); #28497=DIRECTION('',(-2.16840434497101E-15,-1.,0.)); #28498=DIRECTION('',(0.,0.,-1.)); #28499=DIRECTION('center_axis',(-1.,0.,0.)); #28500=DIRECTION('ref_axis',(0.,1.,0.)); #28501=DIRECTION('',(0.,-1.,0.)); #28502=DIRECTION('',(0.,0.,-1.)); #28503=DIRECTION('',(0.,1.,0.)); #28504=DIRECTION('',(0.,0.,-1.)); #28505=DIRECTION('center_axis',(0.,-1.,0.)); #28506=DIRECTION('ref_axis',(-1.,0.,0.)); #28507=DIRECTION('',(1.,0.,0.)); #28508=DIRECTION('',(-1.,0.,0.)); #28509=DIRECTION('center_axis',(0.,0.,-1.)); #28510=DIRECTION('ref_axis',(-1.,0.,0.)); #28511=DIRECTION('center_axis',(0.,0.,1.)); #28512=DIRECTION('ref_axis',(-1.,0.,0.)); #28513=DIRECTION('',(1.,0.,0.)); #28514=DIRECTION('',(1.,0.,0.)); #28515=DIRECTION('center_axis',(-2.16840434497101E-15,-1.,0.)); #28516=DIRECTION('ref_axis',(-1.,2.16840434497101E-15,0.)); #28517=DIRECTION('',(1.,-2.16840434497101E-15,0.)); #28518=DIRECTION('',(0.,0.,-1.)); #28519=DIRECTION('',(-1.,2.16840434497101E-15,0.)); #28520=DIRECTION('',(0.,0.,-1.)); #28521=DIRECTION('center_axis',(0.,1.,0.)); #28522=DIRECTION('ref_axis',(1.,0.,0.)); #28523=DIRECTION('',(-1.,0.,0.)); #28524=DIRECTION('',(0.,0.,-1.)); #28525=DIRECTION('',(1.,0.,0.)); #28526=DIRECTION('',(0.,0.,-1.)); #28527=DIRECTION('center_axis',(-1.,0.,0.)); #28528=DIRECTION('ref_axis',(0.,1.,0.)); #28529=DIRECTION('',(0.,-1.,0.)); #28530=DIRECTION('',(0.,1.,0.)); #28531=DIRECTION('center_axis',(0.,0.,-1.)); #28532=DIRECTION('ref_axis',(-1.,0.,0.)); #28533=DIRECTION('center_axis',(0.,0.,1.)); #28534=DIRECTION('ref_axis',(-1.,0.,0.)); #28535=DIRECTION('',(0.,-1.,0.)); #28536=DIRECTION('',(0.,-1.,0.)); #28537=DIRECTION('center_axis',(0.,-1.,0.)); #28538=DIRECTION('ref_axis',(-1.,0.,0.)); #28539=DIRECTION('',(1.,0.,0.)); #28540=DIRECTION('',(0.,0.,-1.)); #28541=DIRECTION('',(-1.,0.,0.)); #28542=DIRECTION('',(0.,0.,-1.)); #28543=DIRECTION('center_axis',(0.,1.,0.)); #28544=DIRECTION('ref_axis',(1.,0.,0.)); #28545=DIRECTION('',(-1.,0.,0.)); #28546=DIRECTION('',(0.,0.,-1.)); #28547=DIRECTION('',(1.,0.,0.)); #28548=DIRECTION('',(0.,0.,-1.)); #28549=DIRECTION('center_axis',(-1.,0.,0.)); #28550=DIRECTION('ref_axis',(0.,1.,0.)); #28551=DIRECTION('',(0.,-1.,0.)); #28552=DIRECTION('',(0.,1.,0.)); #28553=DIRECTION('center_axis',(0.,0.,1.)); #28554=DIRECTION('ref_axis',(1.,0.,0.)); #28555=DIRECTION('',(0.,-1.,0.)); #28556=DIRECTION('center_axis',(0.,0.,1.)); #28557=DIRECTION('ref_axis',(1.,0.,0.)); #28558=DIRECTION('',(0.,-1.,0.)); #28559=DIRECTION('center_axis',(-1.,0.,0.)); #28560=DIRECTION('ref_axis',(0.,1.,0.)); #28561=DIRECTION('',(0.,-1.,0.)); #28562=DIRECTION('',(0.,0.,-1.)); #28563=DIRECTION('',(0.,1.,0.)); #28564=DIRECTION('',(0.,0.,-1.)); #28565=DIRECTION('center_axis',(1.,0.,0.)); #28566=DIRECTION('ref_axis',(0.,-1.,0.)); #28567=DIRECTION('',(0.,1.,0.)); #28568=DIRECTION('',(0.,0.,-1.)); #28569=DIRECTION('',(0.,-1.,0.)); #28570=DIRECTION('',(0.,0.,-1.)); #28571=DIRECTION('center_axis',(1.54886024640787E-16,1.,0.)); #28572=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #28573=DIRECTION('',(-1.,1.54886024640787E-16,0.)); #28574=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #28575=DIRECTION('center_axis',(0.,0.,1.)); #28576=DIRECTION('ref_axis',(1.,0.,0.)); #28577=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28578=DIRECTION('center_axis',(0.,0.,1.)); #28579=DIRECTION('ref_axis',(1.,0.,0.)); #28580=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28581=DIRECTION('center_axis',(-1.,2.16840434497101E-15,0.)); #28582=DIRECTION('ref_axis',(2.16840434497101E-15,1.,0.)); #28583=DIRECTION('',(-2.16840434497101E-15,-1.,0.)); #28584=DIRECTION('',(0.,0.,-1.)); #28585=DIRECTION('',(2.16840434497101E-15,1.,0.)); #28586=DIRECTION('',(0.,0.,-1.)); #28587=DIRECTION('center_axis',(1.,0.,0.)); #28588=DIRECTION('ref_axis',(0.,-1.,0.)); #28589=DIRECTION('',(0.,1.,0.)); #28590=DIRECTION('',(0.,0.,-1.)); #28591=DIRECTION('',(0.,-1.,0.)); #28592=DIRECTION('',(0.,0.,-1.)); #28593=DIRECTION('center_axis',(1.54886024640787E-16,1.,0.)); #28594=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #28595=DIRECTION('',(-1.,1.54886024640787E-16,0.)); #28596=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #28597=DIRECTION('center_axis',(0.,0.,1.)); #28598=DIRECTION('ref_axis',(1.,0.,0.)); #28599=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28600=DIRECTION('center_axis',(0.,0.,1.)); #28601=DIRECTION('ref_axis',(1.,0.,0.)); #28602=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28603=DIRECTION('center_axis',(-1.,0.,0.)); #28604=DIRECTION('ref_axis',(0.,1.,0.)); #28605=DIRECTION('',(0.,-1.,0.)); #28606=DIRECTION('',(0.,0.,-1.)); #28607=DIRECTION('',(0.,1.,0.)); #28608=DIRECTION('',(0.,0.,-1.)); #28609=DIRECTION('center_axis',(1.,0.,0.)); #28610=DIRECTION('ref_axis',(0.,-1.,0.)); #28611=DIRECTION('',(0.,1.,0.)); #28612=DIRECTION('',(0.,0.,-1.)); #28613=DIRECTION('',(0.,-1.,0.)); #28614=DIRECTION('',(0.,0.,-1.)); #28615=DIRECTION('center_axis',(1.54886024640787E-16,1.,0.)); #28616=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #28617=DIRECTION('',(-1.,1.54886024640787E-16,0.)); #28618=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #28619=DIRECTION('center_axis',(0.,0.,1.)); #28620=DIRECTION('ref_axis',(1.,0.,0.)); #28621=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28622=DIRECTION('center_axis',(0.,0.,1.)); #28623=DIRECTION('ref_axis',(1.,0.,0.)); #28624=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28625=DIRECTION('center_axis',(-1.,0.,0.)); #28626=DIRECTION('ref_axis',(0.,1.,0.)); #28627=DIRECTION('',(0.,-1.,0.)); #28628=DIRECTION('',(0.,0.,-1.)); #28629=DIRECTION('',(0.,1.,0.)); #28630=DIRECTION('',(0.,0.,-1.)); #28631=DIRECTION('center_axis',(1.,0.,0.)); #28632=DIRECTION('ref_axis',(0.,-1.,0.)); #28633=DIRECTION('',(0.,1.,0.)); #28634=DIRECTION('',(0.,0.,-1.)); #28635=DIRECTION('',(0.,-1.,0.)); #28636=DIRECTION('',(0.,0.,-1.)); #28637=DIRECTION('center_axis',(1.54886024640786E-16,1.,0.)); #28638=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #28639=DIRECTION('',(-1.,1.54886024640786E-16,0.)); #28640=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #28641=DIRECTION('center_axis',(0.,0.,1.)); #28642=DIRECTION('ref_axis',(1.,0.,0.)); #28643=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28644=DIRECTION('center_axis',(0.,0.,1.)); #28645=DIRECTION('ref_axis',(1.,0.,0.)); #28646=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28647=DIRECTION('center_axis',(-1.,2.71050543121376E-16,0.)); #28648=DIRECTION('ref_axis',(2.71050543121376E-16,1.,0.)); #28649=DIRECTION('',(-2.71050543121376E-16,-1.,0.)); #28650=DIRECTION('',(0.,0.,-1.)); #28651=DIRECTION('',(2.71050543121376E-16,1.,0.)); #28652=DIRECTION('',(0.,0.,-1.)); #28653=DIRECTION('center_axis',(1.,0.,0.)); #28654=DIRECTION('ref_axis',(0.,-1.,0.)); #28655=DIRECTION('',(0.,1.,0.)); #28656=DIRECTION('',(0.,0.,-1.)); #28657=DIRECTION('',(0.,-1.,0.)); #28658=DIRECTION('',(0.,0.,-1.)); #28659=DIRECTION('center_axis',(1.54886024640786E-16,1.,0.)); #28660=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #28661=DIRECTION('',(-1.,1.54886024640786E-16,0.)); #28662=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #28663=DIRECTION('center_axis',(0.,0.,1.)); #28664=DIRECTION('ref_axis',(1.,0.,0.)); #28665=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #28666=DIRECTION('center_axis',(0.,0.,1.)); #28667=DIRECTION('ref_axis',(1.,0.,0.)); #28668=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #28669=DIRECTION('center_axis',(-1.,0.,0.)); #28670=DIRECTION('ref_axis',(0.,1.,0.)); #28671=DIRECTION('',(0.,-1.,0.)); #28672=DIRECTION('',(0.,0.,-1.)); #28673=DIRECTION('',(0.,1.,0.)); #28674=DIRECTION('',(0.,0.,-1.)); #28675=DIRECTION('center_axis',(1.,0.,0.)); #28676=DIRECTION('ref_axis',(0.,-1.,0.)); #28677=DIRECTION('',(0.,1.,0.)); #28678=DIRECTION('',(0.,0.,-1.)); #28679=DIRECTION('',(0.,-1.,0.)); #28680=DIRECTION('',(0.,0.,-1.)); #28681=DIRECTION('center_axis',(1.54886024640786E-16,1.,0.)); #28682=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #28683=DIRECTION('',(-1.,1.54886024640786E-16,0.)); #28684=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #28685=DIRECTION('center_axis',(0.,0.,1.)); #28686=DIRECTION('ref_axis',(1.,0.,0.)); #28687=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28688=DIRECTION('center_axis',(0.,0.,1.)); #28689=DIRECTION('ref_axis',(1.,0.,0.)); #28690=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28691=DIRECTION('center_axis',(-1.,2.16840434497101E-15,0.)); #28692=DIRECTION('ref_axis',(2.16840434497101E-15,1.,0.)); #28693=DIRECTION('',(-2.16840434497101E-15,-1.,0.)); #28694=DIRECTION('',(0.,0.,-1.)); #28695=DIRECTION('',(2.16840434497101E-15,1.,0.)); #28696=DIRECTION('',(0.,0.,-1.)); #28697=DIRECTION('center_axis',(1.,0.,0.)); #28698=DIRECTION('ref_axis',(0.,-1.,0.)); #28699=DIRECTION('',(0.,1.,0.)); #28700=DIRECTION('',(0.,0.,-1.)); #28701=DIRECTION('',(0.,-1.,0.)); #28702=DIRECTION('',(0.,0.,-1.)); #28703=DIRECTION('center_axis',(1.54886024640787E-16,1.,0.)); #28704=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #28705=DIRECTION('',(-1.,1.54886024640787E-16,0.)); #28706=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #28707=DIRECTION('center_axis',(0.,0.,1.)); #28708=DIRECTION('ref_axis',(1.,0.,0.)); #28709=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28710=DIRECTION('center_axis',(0.,0.,1.)); #28711=DIRECTION('ref_axis',(1.,0.,0.)); #28712=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28713=DIRECTION('center_axis',(-1.,0.,0.)); #28714=DIRECTION('ref_axis',(0.,1.,0.)); #28715=DIRECTION('',(0.,-1.,0.)); #28716=DIRECTION('',(0.,0.,-1.)); #28717=DIRECTION('',(0.,1.,0.)); #28718=DIRECTION('',(0.,0.,-1.)); #28719=DIRECTION('center_axis',(1.,0.,0.)); #28720=DIRECTION('ref_axis',(0.,-1.,0.)); #28721=DIRECTION('',(0.,1.,0.)); #28722=DIRECTION('',(0.,0.,-1.)); #28723=DIRECTION('',(0.,-1.,0.)); #28724=DIRECTION('',(0.,0.,-1.)); #28725=DIRECTION('center_axis',(1.54886024640786E-16,1.,0.)); #28726=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #28727=DIRECTION('',(-1.,1.54886024640786E-16,0.)); #28728=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #28729=DIRECTION('center_axis',(0.,0.,1.)); #28730=DIRECTION('ref_axis',(1.,0.,0.)); #28731=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28732=DIRECTION('center_axis',(0.,0.,1.)); #28733=DIRECTION('ref_axis',(1.,0.,0.)); #28734=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #28735=DIRECTION('center_axis',(0.,-1.,0.)); #28736=DIRECTION('ref_axis',(-1.,0.,0.)); #28737=DIRECTION('',(1.,0.,0.)); #28738=DIRECTION('',(0.,0.,-1.)); #28739=DIRECTION('',(-1.,0.,0.)); #28740=DIRECTION('',(0.,0.,-1.)); #28741=DIRECTION('center_axis',(-5.42101086242753E-16,1.,0.)); #28742=DIRECTION('ref_axis',(1.,5.42101086242753E-16,0.)); #28743=DIRECTION('',(-1.,-5.42101086242753E-16,0.)); #28744=DIRECTION('',(0.,0.,-1.)); #28745=DIRECTION('',(1.,5.42101086242753E-16,0.)); #28746=DIRECTION('',(0.,0.,-1.)); #28747=DIRECTION('center_axis',(-1.,0.,0.)); #28748=DIRECTION('ref_axis',(0.,1.,0.)); #28749=DIRECTION('',(0.,-1.,0.)); #28750=DIRECTION('',(0.,1.,0.)); #28751=DIRECTION('center_axis',(0.,0.,1.)); #28752=DIRECTION('ref_axis',(1.,0.,0.)); #28753=DIRECTION('',(0.,-1.,0.)); #28754=DIRECTION('center_axis',(0.,0.,1.)); #28755=DIRECTION('ref_axis',(1.,0.,0.)); #28756=DIRECTION('',(0.,-1.,0.)); #28757=DIRECTION('center_axis',(0.,-1.,0.)); #28758=DIRECTION('ref_axis',(-1.,0.,0.)); #28759=DIRECTION('',(1.,0.,0.)); #28760=DIRECTION('',(0.,0.,-1.)); #28761=DIRECTION('',(-1.,0.,0.)); #28762=DIRECTION('',(0.,0.,-1.)); #28763=DIRECTION('center_axis',(0.,1.,0.)); #28764=DIRECTION('ref_axis',(1.,0.,0.)); #28765=DIRECTION('',(-1.,0.,0.)); #28766=DIRECTION('',(0.,0.,-1.)); #28767=DIRECTION('',(1.,0.,0.)); #28768=DIRECTION('',(0.,0.,-1.)); #28769=DIRECTION('center_axis',(-1.,0.,0.)); #28770=DIRECTION('ref_axis',(0.,1.,0.)); #28771=DIRECTION('',(0.,-1.,0.)); #28772=DIRECTION('',(0.,1.,0.)); #28773=DIRECTION('center_axis',(0.,0.,1.)); #28774=DIRECTION('ref_axis',(1.,0.,0.)); #28775=DIRECTION('',(0.,-1.,0.)); #28776=DIRECTION('center_axis',(0.,0.,1.)); #28777=DIRECTION('ref_axis',(1.,0.,0.)); #28778=DIRECTION('',(0.,-1.,0.)); #28779=DIRECTION('center_axis',(1.,0.,0.)); #28780=DIRECTION('ref_axis',(0.,-1.,0.)); #28781=DIRECTION('',(0.,1.,0.)); #28782=DIRECTION('',(0.,0.,-1.)); #28783=DIRECTION('',(0.,-1.,0.)); #28784=DIRECTION('',(0.,0.,-1.)); #28785=DIRECTION('center_axis',(-1.,0.,0.)); #28786=DIRECTION('ref_axis',(0.,1.,0.)); #28787=DIRECTION('',(0.,-1.,0.)); #28788=DIRECTION('',(0.,0.,-1.)); #28789=DIRECTION('',(0.,1.,0.)); #28790=DIRECTION('',(0.,0.,-1.)); #28791=DIRECTION('center_axis',(0.,-1.,0.)); #28792=DIRECTION('ref_axis',(-1.,0.,0.)); #28793=DIRECTION('',(1.,0.,0.)); #28794=DIRECTION('',(-1.,0.,0.)); #28795=DIRECTION('center_axis',(0.,0.,-1.)); #28796=DIRECTION('ref_axis',(-1.,0.,0.)); #28797=DIRECTION('center_axis',(0.,0.,1.)); #28798=DIRECTION('ref_axis',(-1.,0.,0.)); #28799=DIRECTION('',(1.,0.,0.)); #28800=DIRECTION('',(1.,0.,0.)); #28801=DIRECTION('center_axis',(1.,0.,0.)); #28802=DIRECTION('ref_axis',(0.,-1.,0.)); #28803=DIRECTION('',(0.,1.,0.)); #28804=DIRECTION('',(0.,0.,-1.)); #28805=DIRECTION('',(0.,-1.,0.)); #28806=DIRECTION('',(0.,0.,-1.)); #28807=DIRECTION('center_axis',(-1.,0.,0.)); #28808=DIRECTION('ref_axis',(0.,1.,0.)); #28809=DIRECTION('',(0.,-1.,0.)); #28810=DIRECTION('',(0.,0.,-1.)); #28811=DIRECTION('',(0.,1.,0.)); #28812=DIRECTION('',(0.,0.,-1.)); #28813=DIRECTION('center_axis',(0.,-1.,0.)); #28814=DIRECTION('ref_axis',(-1.,0.,0.)); #28815=DIRECTION('',(1.,0.,0.)); #28816=DIRECTION('',(-1.,0.,0.)); #28817=DIRECTION('center_axis',(0.,0.,-1.)); #28818=DIRECTION('ref_axis',(-1.,0.,0.)); #28819=DIRECTION('center_axis',(0.,0.,1.)); #28820=DIRECTION('ref_axis',(-1.,0.,0.)); #28821=DIRECTION('',(1.,0.,0.)); #28822=DIRECTION('',(1.,0.,0.)); #28823=DIRECTION('center_axis',(1.,0.,0.)); #28824=DIRECTION('ref_axis',(0.,-1.,0.)); #28825=DIRECTION('',(0.,1.,0.)); #28826=DIRECTION('',(0.,0.,-1.)); #28827=DIRECTION('',(0.,-1.,0.)); #28828=DIRECTION('',(0.,0.,-1.)); #28829=DIRECTION('center_axis',(-1.,0.,0.)); #28830=DIRECTION('ref_axis',(0.,1.,0.)); #28831=DIRECTION('',(0.,-1.,0.)); #28832=DIRECTION('',(0.,0.,-1.)); #28833=DIRECTION('',(0.,1.,0.)); #28834=DIRECTION('',(0.,0.,-1.)); #28835=DIRECTION('center_axis',(0.,-1.,0.)); #28836=DIRECTION('ref_axis',(-1.,0.,0.)); #28837=DIRECTION('',(1.,0.,0.)); #28838=DIRECTION('',(-1.,0.,0.)); #28839=DIRECTION('center_axis',(0.,0.,-1.)); #28840=DIRECTION('ref_axis',(-1.,0.,0.)); #28841=DIRECTION('center_axis',(0.,0.,1.)); #28842=DIRECTION('ref_axis',(-1.,0.,0.)); #28843=DIRECTION('',(1.,0.,0.)); #28844=DIRECTION('',(1.,0.,0.)); #28845=DIRECTION('center_axis',(1.,0.,0.)); #28846=DIRECTION('ref_axis',(0.,-1.,0.)); #28847=DIRECTION('',(0.,1.,0.)); #28848=DIRECTION('',(0.,0.,-1.)); #28849=DIRECTION('',(0.,-1.,0.)); #28850=DIRECTION('',(0.,0.,-1.)); #28851=DIRECTION('center_axis',(-1.,0.,0.)); #28852=DIRECTION('ref_axis',(0.,1.,0.)); #28853=DIRECTION('',(0.,-1.,0.)); #28854=DIRECTION('',(0.,0.,-1.)); #28855=DIRECTION('',(0.,1.,0.)); #28856=DIRECTION('',(0.,0.,-1.)); #28857=DIRECTION('center_axis',(0.,-1.,0.)); #28858=DIRECTION('ref_axis',(-1.,0.,0.)); #28859=DIRECTION('',(1.,0.,0.)); #28860=DIRECTION('',(-1.,0.,0.)); #28861=DIRECTION('center_axis',(0.,0.,-1.)); #28862=DIRECTION('ref_axis',(-1.,0.,0.)); #28863=DIRECTION('center_axis',(0.,0.,1.)); #28864=DIRECTION('ref_axis',(-1.,0.,0.)); #28865=DIRECTION('',(1.,0.,0.)); #28866=DIRECTION('',(1.,0.,0.)); #28867=DIRECTION('center_axis',(1.,-2.71050543121377E-16,0.)); #28868=DIRECTION('ref_axis',(-2.71050543121377E-16,-1.,0.)); #28869=DIRECTION('',(2.71050543121377E-16,1.,0.)); #28870=DIRECTION('',(0.,0.,-1.)); #28871=DIRECTION('',(-2.71050543121377E-16,-1.,0.)); #28872=DIRECTION('',(0.,0.,-1.)); #28873=DIRECTION('center_axis',(-1.,0.,0.)); #28874=DIRECTION('ref_axis',(0.,1.,0.)); #28875=DIRECTION('',(0.,-1.,0.)); #28876=DIRECTION('',(0.,0.,-1.)); #28877=DIRECTION('',(0.,1.,0.)); #28878=DIRECTION('',(0.,0.,-1.)); #28879=DIRECTION('center_axis',(0.,-1.,0.)); #28880=DIRECTION('ref_axis',(-1.,0.,0.)); #28881=DIRECTION('',(1.,0.,0.)); #28882=DIRECTION('',(-1.,0.,0.)); #28883=DIRECTION('center_axis',(0.,0.,1.)); #28884=DIRECTION('ref_axis',(1.,0.,0.)); #28885=DIRECTION('',(1.,0.,0.)); #28886=DIRECTION('center_axis',(0.,0.,1.)); #28887=DIRECTION('ref_axis',(1.,0.,0.)); #28888=DIRECTION('',(1.,0.,0.)); #28889=DIRECTION('center_axis',(1.,0.,0.)); #28890=DIRECTION('ref_axis',(0.,-1.,0.)); #28891=DIRECTION('',(0.,1.,0.)); #28892=DIRECTION('',(0.,0.,-1.)); #28893=DIRECTION('',(0.,-1.,0.)); #28894=DIRECTION('',(0.,0.,-1.)); #28895=DIRECTION('center_axis',(-1.,0.,0.)); #28896=DIRECTION('ref_axis',(0.,1.,0.)); #28897=DIRECTION('',(0.,-1.,0.)); #28898=DIRECTION('',(0.,0.,-1.)); #28899=DIRECTION('',(0.,1.,0.)); #28900=DIRECTION('',(0.,0.,-1.)); #28901=DIRECTION('center_axis',(0.,-1.,0.)); #28902=DIRECTION('ref_axis',(-1.,0.,0.)); #28903=DIRECTION('',(1.,0.,0.)); #28904=DIRECTION('',(-1.,0.,0.)); #28905=DIRECTION('center_axis',(0.,0.,-1.)); #28906=DIRECTION('ref_axis',(-1.,0.,0.)); #28907=DIRECTION('center_axis',(0.,0.,1.)); #28908=DIRECTION('ref_axis',(-1.,0.,0.)); #28909=DIRECTION('',(1.,0.,0.)); #28910=DIRECTION('',(1.,0.,0.)); #28911=DIRECTION('center_axis',(1.,0.,0.)); #28912=DIRECTION('ref_axis',(0.,-1.,0.)); #28913=DIRECTION('',(0.,1.,0.)); #28914=DIRECTION('',(0.,0.,-1.)); #28915=DIRECTION('',(0.,-1.,0.)); #28916=DIRECTION('',(0.,0.,-1.)); #28917=DIRECTION('center_axis',(-1.,0.,0.)); #28918=DIRECTION('ref_axis',(0.,1.,0.)); #28919=DIRECTION('',(0.,-1.,0.)); #28920=DIRECTION('',(0.,0.,-1.)); #28921=DIRECTION('',(0.,1.,0.)); #28922=DIRECTION('',(0.,0.,-1.)); #28923=DIRECTION('center_axis',(0.,-1.,0.)); #28924=DIRECTION('ref_axis',(-1.,0.,0.)); #28925=DIRECTION('',(1.,0.,0.)); #28926=DIRECTION('',(-1.,0.,0.)); #28927=DIRECTION('center_axis',(0.,0.,-1.)); #28928=DIRECTION('ref_axis',(-1.,0.,0.)); #28929=DIRECTION('center_axis',(0.,0.,1.)); #28930=DIRECTION('ref_axis',(-1.,0.,0.)); #28931=DIRECTION('',(1.,0.,0.)); #28932=DIRECTION('',(1.,0.,0.)); #28933=DIRECTION('center_axis',(1.,0.,0.)); #28934=DIRECTION('ref_axis',(0.,-1.,0.)); #28935=DIRECTION('',(0.,1.,0.)); #28936=DIRECTION('',(0.,0.,-1.)); #28937=DIRECTION('',(0.,-1.,0.)); #28938=DIRECTION('',(0.,0.,-1.)); #28939=DIRECTION('center_axis',(-1.,0.,0.)); #28940=DIRECTION('ref_axis',(0.,1.,0.)); #28941=DIRECTION('',(0.,-1.,0.)); #28942=DIRECTION('',(0.,0.,-1.)); #28943=DIRECTION('',(0.,1.,0.)); #28944=DIRECTION('',(0.,0.,-1.)); #28945=DIRECTION('center_axis',(0.,-1.,0.)); #28946=DIRECTION('ref_axis',(-1.,0.,0.)); #28947=DIRECTION('',(1.,0.,0.)); #28948=DIRECTION('',(-1.,0.,0.)); #28949=DIRECTION('center_axis',(0.,0.,-1.)); #28950=DIRECTION('ref_axis',(-1.,0.,0.)); #28951=DIRECTION('center_axis',(0.,0.,1.)); #28952=DIRECTION('ref_axis',(-1.,0.,0.)); #28953=DIRECTION('',(1.,0.,0.)); #28954=DIRECTION('',(1.,0.,0.)); #28955=DIRECTION('center_axis',(0.,-1.,0.)); #28956=DIRECTION('ref_axis',(-1.,0.,0.)); #28957=DIRECTION('',(1.,0.,0.)); #28958=DIRECTION('',(0.,0.,-1.)); #28959=DIRECTION('',(-1.,0.,0.)); #28960=DIRECTION('',(0.,0.,-1.)); #28961=DIRECTION('center_axis',(0.,1.,0.)); #28962=DIRECTION('ref_axis',(1.,0.,0.)); #28963=DIRECTION('',(-1.,0.,0.)); #28964=DIRECTION('',(0.,0.,-1.)); #28965=DIRECTION('',(1.,0.,0.)); #28966=DIRECTION('',(0.,0.,-1.)); #28967=DIRECTION('center_axis',(-1.,0.,0.)); #28968=DIRECTION('ref_axis',(0.,1.,0.)); #28969=DIRECTION('',(0.,-1.,0.)); #28970=DIRECTION('',(0.,1.,0.)); #28971=DIRECTION('center_axis',(0.,0.,1.)); #28972=DIRECTION('ref_axis',(1.,0.,0.)); #28973=DIRECTION('',(0.,-1.,0.)); #28974=DIRECTION('center_axis',(0.,0.,1.)); #28975=DIRECTION('ref_axis',(1.,0.,0.)); #28976=DIRECTION('',(0.,-1.,0.)); #28977=DIRECTION('center_axis',(0.,-1.,0.)); #28978=DIRECTION('ref_axis',(-1.,0.,0.)); #28979=DIRECTION('',(1.,0.,0.)); #28980=DIRECTION('',(0.,0.,-1.)); #28981=DIRECTION('',(-1.,0.,0.)); #28982=DIRECTION('',(0.,0.,-1.)); #28983=DIRECTION('center_axis',(0.,1.,0.)); #28984=DIRECTION('ref_axis',(1.,0.,0.)); #28985=DIRECTION('',(-1.,0.,0.)); #28986=DIRECTION('',(0.,0.,-1.)); #28987=DIRECTION('',(1.,0.,0.)); #28988=DIRECTION('',(0.,0.,-1.)); #28989=DIRECTION('center_axis',(-1.,0.,0.)); #28990=DIRECTION('ref_axis',(0.,1.,0.)); #28991=DIRECTION('',(0.,-1.,0.)); #28992=DIRECTION('',(0.,1.,0.)); #28993=DIRECTION('center_axis',(0.,0.,-1.)); #28994=DIRECTION('ref_axis',(-1.,0.,0.)); #28995=DIRECTION('center_axis',(0.,0.,1.)); #28996=DIRECTION('ref_axis',(-1.,0.,0.)); #28997=DIRECTION('',(0.,-1.,0.)); #28998=DIRECTION('',(0.,-1.,0.)); #28999=DIRECTION('center_axis',(0.,-1.,0.)); #29000=DIRECTION('ref_axis',(-1.,0.,0.)); #29001=DIRECTION('',(1.,0.,0.)); #29002=DIRECTION('',(0.,0.,-1.)); #29003=DIRECTION('',(-1.,0.,0.)); #29004=DIRECTION('',(0.,0.,-1.)); #29005=DIRECTION('center_axis',(5.42101086242753E-16,1.,0.)); #29006=DIRECTION('ref_axis',(1.,-5.42101086242753E-16,0.)); #29007=DIRECTION('',(-1.,5.42101086242753E-16,0.)); #29008=DIRECTION('',(0.,0.,-1.)); #29009=DIRECTION('',(1.,-5.42101086242753E-16,0.)); #29010=DIRECTION('',(0.,0.,-1.)); #29011=DIRECTION('center_axis',(-1.,0.,0.)); #29012=DIRECTION('ref_axis',(0.,1.,0.)); #29013=DIRECTION('',(0.,-1.,0.)); #29014=DIRECTION('',(0.,1.,0.)); #29015=DIRECTION('center_axis',(0.,0.,1.)); #29016=DIRECTION('ref_axis',(1.,0.,0.)); #29017=DIRECTION('',(0.,-1.,0.)); #29018=DIRECTION('center_axis',(0.,0.,1.)); #29019=DIRECTION('ref_axis',(1.,0.,0.)); #29020=DIRECTION('',(0.,-1.,0.)); #29021=DIRECTION('center_axis',(-1.,2.16840434497101E-15,0.)); #29022=DIRECTION('ref_axis',(2.16840434497101E-15,1.,0.)); #29023=DIRECTION('',(-2.16840434497101E-15,-1.,0.)); #29024=DIRECTION('',(0.,0.,-1.)); #29025=DIRECTION('',(2.16840434497101E-15,1.,0.)); #29026=DIRECTION('',(0.,0.,-1.)); #29027=DIRECTION('center_axis',(1.,0.,0.)); #29028=DIRECTION('ref_axis',(0.,-1.,0.)); #29029=DIRECTION('',(0.,1.,0.)); #29030=DIRECTION('',(0.,0.,-1.)); #29031=DIRECTION('',(0.,-1.,0.)); #29032=DIRECTION('',(0.,0.,-1.)); #29033=DIRECTION('center_axis',(1.54886024640787E-16,1.,0.)); #29034=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #29035=DIRECTION('',(-1.,1.54886024640787E-16,0.)); #29036=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #29037=DIRECTION('center_axis',(0.,0.,1.)); #29038=DIRECTION('ref_axis',(1.,0.,0.)); #29039=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #29040=DIRECTION('center_axis',(0.,0.,1.)); #29041=DIRECTION('ref_axis',(1.,0.,0.)); #29042=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #29043=DIRECTION('center_axis',(-1.,2.16840434497101E-15,0.)); #29044=DIRECTION('ref_axis',(2.16840434497101E-15,1.,0.)); #29045=DIRECTION('',(-2.16840434497101E-15,-1.,0.)); #29046=DIRECTION('',(0.,0.,-1.)); #29047=DIRECTION('',(2.16840434497101E-15,1.,0.)); #29048=DIRECTION('',(0.,0.,-1.)); #29049=DIRECTION('center_axis',(1.,0.,0.)); #29050=DIRECTION('ref_axis',(0.,-1.,0.)); #29051=DIRECTION('',(0.,1.,0.)); #29052=DIRECTION('',(0.,0.,-1.)); #29053=DIRECTION('',(0.,-1.,0.)); #29054=DIRECTION('',(0.,0.,-1.)); #29055=DIRECTION('center_axis',(1.54886024640787E-16,1.,0.)); #29056=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #29057=DIRECTION('',(-1.,1.54886024640787E-16,0.)); #29058=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #29059=DIRECTION('center_axis',(0.,0.,1.)); #29060=DIRECTION('ref_axis',(1.,0.,0.)); #29061=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #29062=DIRECTION('center_axis',(0.,0.,1.)); #29063=DIRECTION('ref_axis',(1.,0.,0.)); #29064=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #29065=DIRECTION('center_axis',(-1.,0.,0.)); #29066=DIRECTION('ref_axis',(0.,1.,0.)); #29067=DIRECTION('',(0.,-1.,0.)); #29068=DIRECTION('',(0.,0.,-1.)); #29069=DIRECTION('',(0.,1.,0.)); #29070=DIRECTION('',(0.,0.,-1.)); #29071=DIRECTION('center_axis',(1.,0.,0.)); #29072=DIRECTION('ref_axis',(0.,-1.,0.)); #29073=DIRECTION('',(0.,1.,0.)); #29074=DIRECTION('',(0.,0.,-1.)); #29075=DIRECTION('',(0.,-1.,0.)); #29076=DIRECTION('',(0.,0.,-1.)); #29077=DIRECTION('center_axis',(1.54886024640786E-16,1.,0.)); #29078=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #29079=DIRECTION('',(-1.,1.54886024640786E-16,0.)); #29080=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #29081=DIRECTION('center_axis',(0.,0.,1.)); #29082=DIRECTION('ref_axis',(1.,0.,0.)); #29083=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #29084=DIRECTION('center_axis',(0.,0.,1.)); #29085=DIRECTION('ref_axis',(1.,0.,0.)); #29086=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #29087=DIRECTION('center_axis',(-1.,2.71050543121376E-16,0.)); #29088=DIRECTION('ref_axis',(2.71050543121376E-16,1.,0.)); #29089=DIRECTION('',(-2.71050543121376E-16,-1.,0.)); #29090=DIRECTION('',(0.,0.,-1.)); #29091=DIRECTION('',(2.71050543121376E-16,1.,0.)); #29092=DIRECTION('',(0.,0.,-1.)); #29093=DIRECTION('center_axis',(1.,0.,0.)); #29094=DIRECTION('ref_axis',(0.,-1.,0.)); #29095=DIRECTION('',(0.,1.,0.)); #29096=DIRECTION('',(0.,0.,-1.)); #29097=DIRECTION('',(0.,-1.,0.)); #29098=DIRECTION('',(0.,0.,-1.)); #29099=DIRECTION('center_axis',(1.54886024640786E-16,1.,0.)); #29100=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #29101=DIRECTION('',(-1.,1.54886024640786E-16,0.)); #29102=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #29103=DIRECTION('center_axis',(0.,0.,-1.)); #29104=DIRECTION('ref_axis',(-1.,0.,0.)); #29105=DIRECTION('center_axis',(0.,0.,1.)); #29106=DIRECTION('ref_axis',(1.,0.,0.)); #29107=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #29108=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #29109=DIRECTION('center_axis',(0.,0.,-1.)); #29110=DIRECTION('ref_axis',(-1.,0.,0.)); #29111=DIRECTION('',(0.,-1.,0.)); #29112=DIRECTION('',(0.,-1.,0.)); #29113=DIRECTION('',(0.,-1.,0.)); #29114=DIRECTION('',(-1.,0.,0.)); #29115=DIRECTION('',(-1.,0.,0.)); #29116=DIRECTION('',(-1.,0.,0.)); #29117=DIRECTION('',(-1.,0.,0.)); #29118=DIRECTION('',(-1.,0.,0.)); #29119=DIRECTION('',(-1.,0.,0.)); #29120=DIRECTION('',(-1.,0.,0.)); #29121=DIRECTION('',(-1.,0.,0.)); #29122=DIRECTION('',(-1.,0.,0.)); #29123=DIRECTION('',(-1.,0.,0.)); #29124=DIRECTION('',(-1.,0.,0.)); #29125=DIRECTION('',(-1.,0.,0.)); #29126=DIRECTION('',(-1.,0.,0.)); #29127=DIRECTION('',(-1.,0.,0.)); #29128=DIRECTION('',(-1.,0.,0.)); #29129=DIRECTION('',(-1.,0.,0.)); #29130=DIRECTION('',(-1.,0.,0.)); #29131=DIRECTION('',(0.,1.,0.)); #29132=DIRECTION('',(1.,0.,0.)); #29133=DIRECTION('',(1.,0.,0.)); #29134=DIRECTION('',(1.,0.,0.)); #29135=DIRECTION('',(1.,0.,0.)); #29136=DIRECTION('',(1.,0.,0.)); #29137=DIRECTION('',(1.,0.,0.)); #29138=DIRECTION('',(1.,0.,0.)); #29139=DIRECTION('',(1.,0.,0.)); #29140=DIRECTION('',(1.,0.,0.)); #29141=DIRECTION('',(1.,0.,0.)); #29142=DIRECTION('',(1.,0.,0.)); #29143=DIRECTION('',(1.,0.,0.)); #29144=DIRECTION('',(1.,0.,0.)); #29145=DIRECTION('',(1.,0.,0.)); #29146=DIRECTION('',(1.,0.,0.)); #29147=DIRECTION('',(1.,0.,0.)); #29148=DIRECTION('',(1.,0.,0.)); #29149=DIRECTION('',(0.,-1.,0.)); #29150=DIRECTION('',(0.,-1.,0.)); #29151=DIRECTION('',(0.,-1.,0.)); #29152=DIRECTION('',(0.,-1.,0.)); #29153=DIRECTION('',(0.,-1.,0.)); #29154=DIRECTION('',(0.,-1.,0.)); #29155=DIRECTION('',(0.,-1.,0.)); #29156=DIRECTION('center_axis',(0.,0.,-1.)); #29157=DIRECTION('ref_axis',(-1.,0.,0.)); #29158=DIRECTION('center_axis',(0.,0.,-1.)); #29159=DIRECTION('ref_axis',(-1.,0.,0.)); #29160=DIRECTION('',(0.,0.,-1.)); #29161=DIRECTION('',(0.,0.,1.)); #29162=DIRECTION('center_axis',(0.,0.,-1.)); #29163=DIRECTION('ref_axis',(-1.,0.,0.)); #29164=DIRECTION('center_axis',(0.,0.,-1.)); #29165=DIRECTION('ref_axis',(-1.,0.,0.)); #29166=DIRECTION('',(0.,0.,-1.)); #29167=DIRECTION('',(0.,0.,1.)); #29168=DIRECTION('center_axis',(0.,0.,-1.)); #29169=DIRECTION('ref_axis',(-1.,0.,0.)); #29170=DIRECTION('center_axis',(0.,0.,-1.)); #29171=DIRECTION('ref_axis',(-1.,0.,0.)); #29172=DIRECTION('',(0.,0.,-1.)); #29173=DIRECTION('',(0.,0.,1.)); #29174=DIRECTION('center_axis',(0.,0.,-1.)); #29175=DIRECTION('ref_axis',(-1.,0.,0.)); #29176=DIRECTION('center_axis',(0.,0.,-1.)); #29177=DIRECTION('ref_axis',(-1.,0.,0.)); #29178=DIRECTION('',(0.,0.,-1.)); #29179=DIRECTION('',(0.,0.,1.)); #29180=DIRECTION('center_axis',(0.,0.,-1.)); #29181=DIRECTION('ref_axis',(-1.,0.,0.)); #29182=DIRECTION('center_axis',(0.,0.,-1.)); #29183=DIRECTION('ref_axis',(-1.,0.,0.)); #29184=DIRECTION('',(0.,0.,-1.)); #29185=DIRECTION('',(0.,0.,1.)); #29186=DIRECTION('center_axis',(0.,0.,-1.)); #29187=DIRECTION('ref_axis',(-1.,0.,0.)); #29188=DIRECTION('center_axis',(0.,0.,-1.)); #29189=DIRECTION('ref_axis',(-1.,0.,0.)); #29190=DIRECTION('',(0.,0.,-1.)); #29191=DIRECTION('',(0.,0.,1.)); #29192=DIRECTION('center_axis',(0.,0.,-1.)); #29193=DIRECTION('ref_axis',(-1.,0.,0.)); #29194=DIRECTION('center_axis',(0.,0.,-1.)); #29195=DIRECTION('ref_axis',(-1.,0.,0.)); #29196=DIRECTION('',(0.,0.,-1.)); #29197=DIRECTION('',(0.,0.,1.)); #29198=DIRECTION('center_axis',(0.,0.,-1.)); #29199=DIRECTION('ref_axis',(-1.,0.,0.)); #29200=DIRECTION('center_axis',(0.,0.,-1.)); #29201=DIRECTION('ref_axis',(-1.,0.,0.)); #29202=DIRECTION('',(0.,0.,-1.)); #29203=DIRECTION('',(0.,0.,1.)); #29204=DIRECTION('center_axis',(0.,0.,-1.)); #29205=DIRECTION('ref_axis',(-1.,0.,0.)); #29206=DIRECTION('center_axis',(0.,0.,-1.)); #29207=DIRECTION('ref_axis',(-1.,0.,0.)); #29208=DIRECTION('',(0.,0.,-1.)); #29209=DIRECTION('',(0.,0.,1.)); #29210=DIRECTION('center_axis',(1.,0.,0.)); #29211=DIRECTION('ref_axis',(0.,0.,-1.)); #29212=DIRECTION('',(0.,-1.,0.)); #29213=DIRECTION('center_axis',(1.,0.,0.)); #29214=DIRECTION('ref_axis',(0.,0.,-1.)); #29215=DIRECTION('',(0.,-1.,0.)); #29216=DIRECTION('center_axis',(1.,0.,0.)); #29217=DIRECTION('ref_axis',(0.,0.,-1.)); #29218=DIRECTION('',(0.,-1.,0.)); #29219=DIRECTION('center_axis',(1.,0.,0.)); #29220=DIRECTION('ref_axis',(0.,0.,-1.)); #29221=DIRECTION('',(0.,-1.,0.)); #29222=DIRECTION('center_axis',(1.,0.,0.)); #29223=DIRECTION('ref_axis',(0.,0.,-1.)); #29224=DIRECTION('',(0.,-1.,0.)); #29225=DIRECTION('center_axis',(1.,0.,0.)); #29226=DIRECTION('ref_axis',(0.,0.,-1.)); #29227=DIRECTION('',(0.,-1.,0.)); #29228=DIRECTION('center_axis',(1.,0.,0.)); #29229=DIRECTION('ref_axis',(0.,0.,-1.)); #29230=DIRECTION('',(0.,-1.,0.)); #29231=DIRECTION('center_axis',(1.,0.,0.)); #29232=DIRECTION('ref_axis',(0.,0.,-1.)); #29233=DIRECTION('',(0.,-1.,0.)); #29234=DIRECTION('center_axis',(1.,0.,0.)); #29235=DIRECTION('ref_axis',(0.,0.,-1.)); #29236=DIRECTION('',(0.,-1.,0.)); #29237=DIRECTION('',(0.,0.,-1.)); #29238=DIRECTION('center_axis',(0.,0.,-1.)); #29239=DIRECTION('ref_axis',(-1.,0.,0.)); #29240=DIRECTION('',(0.,-1.,0.)); #29241=DIRECTION('',(-1.,0.,0.)); #29242=DIRECTION('',(0.,1.,0.)); #29243=DIRECTION('',(1.,0.,0.)); #29244=DIRECTION('',(0.,-1.,0.)); #29245=DIRECTION('',(-1.,0.,0.)); #29246=DIRECTION('',(0.,1.,0.)); #29247=DIRECTION('',(1.,0.,0.)); #29248=DIRECTION('',(0.,-1.,0.)); #29249=DIRECTION('',(-1.,0.,0.)); #29250=DIRECTION('',(0.,1.,0.)); #29251=DIRECTION('',(1.,0.,0.)); #29252=DIRECTION('',(0.,-1.,0.)); #29253=DIRECTION('',(-1.,0.,0.)); #29254=DIRECTION('',(0.,1.,0.)); #29255=DIRECTION('',(1.,0.,0.)); #29256=DIRECTION('',(0.,-1.,0.)); #29257=DIRECTION('',(-1.,0.,0.)); #29258=DIRECTION('',(0.,1.,0.)); #29259=DIRECTION('',(1.,0.,0.)); #29260=DIRECTION('',(0.,-1.,0.)); #29261=DIRECTION('',(-1.,0.,0.)); #29262=DIRECTION('',(0.,1.,0.)); #29263=DIRECTION('',(1.,0.,0.)); #29264=DIRECTION('',(0.,-1.,0.)); #29265=DIRECTION('',(-1.,0.,0.)); #29266=DIRECTION('',(0.,1.,0.)); #29267=DIRECTION('',(1.,0.,0.)); #29268=DIRECTION('',(0.,-1.,0.)); #29269=DIRECTION('',(-1.,0.,0.)); #29270=DIRECTION('',(0.,1.,0.)); #29271=DIRECTION('',(1.,0.,0.)); #29272=DIRECTION('',(0.,-1.,0.)); #29273=DIRECTION('',(-1.,0.,0.)); #29274=DIRECTION('',(0.,1.,0.)); #29275=DIRECTION('',(1.,0.,0.)); #29276=DIRECTION('',(0.,-1.,0.)); #29277=DIRECTION('',(-1.,0.,0.)); #29278=DIRECTION('',(-2.47817639425258E-15,1.,0.)); #29279=DIRECTION('',(1.,0.,0.)); #29280=DIRECTION('',(0.,-1.,0.)); #29281=DIRECTION('',(-1.,0.,0.)); #29282=DIRECTION('',(0.,1.,0.)); #29283=DIRECTION('',(1.,0.,0.)); #29284=DIRECTION('',(0.,-1.,0.)); #29285=DIRECTION('',(-1.,0.,0.)); #29286=DIRECTION('',(-2.47817639425258E-15,1.,0.)); #29287=DIRECTION('',(1.,0.,0.)); #29288=DIRECTION('',(0.,-1.,0.)); #29289=DIRECTION('',(-1.,0.,0.)); #29290=DIRECTION('',(0.,1.,0.)); #29291=DIRECTION('',(1.,0.,0.)); #29292=DIRECTION('',(0.,-1.,0.)); #29293=DIRECTION('',(-1.,0.,0.)); #29294=DIRECTION('',(-1.23908819712629E-15,1.,0.)); #29295=DIRECTION('',(1.,0.,0.)); #29296=DIRECTION('',(0.,-1.,0.)); #29297=DIRECTION('',(-1.,0.,0.)); #29298=DIRECTION('',(-1.23908819712629E-15,1.,0.)); #29299=DIRECTION('',(1.,0.,0.)); #29300=DIRECTION('',(-6.19544098563146E-16,-1.,0.)); #29301=DIRECTION('',(-1.,0.,0.)); #29302=DIRECTION('',(-6.19544098563146E-16,1.,0.)); #29303=DIRECTION('',(1.,0.,0.)); #29304=DIRECTION('',(-6.19544098563146E-16,-1.,0.)); #29305=DIRECTION('',(-1.,0.,0.)); #29306=DIRECTION('',(0.,-1.,0.)); #29307=DIRECTION('',(1.,0.,0.)); #29308=DIRECTION('',(-1.23908819712629E-15,-1.,0.)); #29309=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29310=DIRECTION('',(1.23908819712629E-15,1.,0.)); #29311=DIRECTION('',(1.,0.,0.)); #29312=DIRECTION('',(-1.23908819712629E-15,-1.,0.)); #29313=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29314=DIRECTION('',(0.,1.,0.)); #29315=DIRECTION('',(1.,0.,0.)); #29316=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #29317=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #29318=DIRECTION('',(0.,1.,0.)); #29319=DIRECTION('',(1.,0.,0.)); #29320=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #29321=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #29322=DIRECTION('',(0.,1.,0.)); #29323=DIRECTION('',(1.,0.,0.)); #29324=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #29325=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #29326=DIRECTION('',(0.,1.,0.)); #29327=DIRECTION('',(1.,0.,0.)); #29328=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #29329=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #29330=DIRECTION('',(0.,1.,0.)); #29331=DIRECTION('',(1.,0.,0.)); #29332=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #29333=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #29334=DIRECTION('',(0.,1.,0.)); #29335=DIRECTION('',(1.,0.,0.)); #29336=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #29337=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29338=DIRECTION('',(4.95635278850516E-15,1.,0.)); #29339=DIRECTION('',(1.,0.,0.)); #29340=DIRECTION('',(0.,-1.,0.)); #29341=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29342=DIRECTION('',(0.,1.,0.)); #29343=DIRECTION('',(1.,0.,0.)); #29344=DIRECTION('',(0.,-1.,0.)); #29345=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29346=DIRECTION('',(0.,1.,0.)); #29347=DIRECTION('',(1.,0.,0.)); #29348=DIRECTION('',(0.,-1.,0.)); #29349=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29350=DIRECTION('',(0.,1.,0.)); #29351=DIRECTION('',(1.,0.,0.)); #29352=DIRECTION('',(0.,-1.,0.)); #29353=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29354=DIRECTION('',(0.,1.,0.)); #29355=DIRECTION('',(1.,0.,0.)); #29356=DIRECTION('',(0.,-1.,0.)); #29357=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29358=DIRECTION('',(0.,1.,0.)); #29359=DIRECTION('',(1.,0.,0.)); #29360=DIRECTION('',(0.,-1.,0.)); #29361=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29362=DIRECTION('',(0.,1.,0.)); #29363=DIRECTION('',(1.,0.,0.)); #29364=DIRECTION('',(0.,-1.,0.)); #29365=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #29366=DIRECTION('',(0.,1.,0.)); #29367=DIRECTION('',(1.,0.,0.)); #29368=DIRECTION('',(0.,-1.,0.)); #29369=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #29370=DIRECTION('',(0.,1.,0.)); #29371=DIRECTION('',(1.,0.,0.)); #29372=DIRECTION('',(1.22508720054859E-16,-1.,0.)); #29373=DIRECTION('',(-1.,0.,0.)); #29374=DIRECTION('',(-1.96013952087775E-16,1.,0.)); #29375=DIRECTION('',(1.,0.,0.)); #29376=DIRECTION('center_axis',(0.,1.,0.)); #29377=DIRECTION('ref_axis',(0.,0.,1.)); #29378=DIRECTION('',(0.,0.,1.)); #29379=DIRECTION('',(1.,0.,0.)); #29380=DIRECTION('',(0.,0.,-1.)); #29381=DIRECTION('',(0.,0.,-1.)); #29382=DIRECTION('',(1.,0.,0.)); #29383=DIRECTION('',(0.,0.,-1.)); #29384=DIRECTION('center_axis',(0.,1.,0.)); #29385=DIRECTION('ref_axis',(0.,0.,1.)); #29386=DIRECTION('',(0.,0.,1.)); #29387=DIRECTION('',(1.,0.,0.)); #29388=DIRECTION('',(0.,0.,-1.)); #29389=DIRECTION('',(0.,0.,-1.)); #29390=DIRECTION('',(1.,0.,0.)); #29391=DIRECTION('',(0.,0.,-1.)); #29392=DIRECTION('center_axis',(0.,1.,0.)); #29393=DIRECTION('ref_axis',(0.,0.,1.)); #29394=DIRECTION('',(0.,0.,1.)); #29395=DIRECTION('',(1.,0.,0.)); #29396=DIRECTION('',(0.,0.,-1.)); #29397=DIRECTION('',(0.,0.,-1.)); #29398=DIRECTION('',(1.,0.,0.)); #29399=DIRECTION('',(0.,0.,-1.)); #29400=DIRECTION('center_axis',(0.,1.,0.)); #29401=DIRECTION('ref_axis',(0.,0.,1.)); #29402=DIRECTION('',(1.,0.,0.)); #29403=DIRECTION('',(0.,0.,-1.)); #29404=DIRECTION('',(0.,0.,1.)); #29405=DIRECTION('',(1.,0.,0.)); #29406=DIRECTION('',(0.,0.,-1.)); #29407=DIRECTION('',(0.,0.,-1.)); #29408=DIRECTION('center_axis',(0.,1.,0.)); #29409=DIRECTION('ref_axis',(0.,0.,1.)); #29410=DIRECTION('',(0.,0.,1.)); #29411=DIRECTION('',(1.,0.,0.)); #29412=DIRECTION('',(0.,0.,-1.)); #29413=DIRECTION('',(0.,0.,-1.)); #29414=DIRECTION('',(1.,0.,0.)); #29415=DIRECTION('',(0.,0.,-1.)); #29416=DIRECTION('center_axis',(0.,1.,0.)); #29417=DIRECTION('ref_axis',(0.,0.,1.)); #29418=DIRECTION('',(0.,0.,1.)); #29419=DIRECTION('',(1.,0.,0.)); #29420=DIRECTION('',(0.,0.,-1.)); #29421=DIRECTION('',(0.,0.,-1.)); #29422=DIRECTION('',(1.,0.,0.)); #29423=DIRECTION('',(0.,0.,-1.)); #29424=DIRECTION('center_axis',(0.,1.,0.)); #29425=DIRECTION('ref_axis',(0.,0.,1.)); #29426=DIRECTION('',(0.,0.,1.)); #29427=DIRECTION('',(1.,0.,0.)); #29428=DIRECTION('',(0.,0.,-1.)); #29429=DIRECTION('',(0.,0.,-1.)); #29430=DIRECTION('',(1.,0.,0.)); #29431=DIRECTION('',(0.,0.,-1.)); #29432=DIRECTION('center_axis',(0.,1.,0.)); #29433=DIRECTION('ref_axis',(0.,0.,1.)); #29434=DIRECTION('',(1.,0.,0.)); #29435=DIRECTION('',(0.,0.,-1.)); #29436=DIRECTION('',(0.,0.,1.)); #29437=DIRECTION('',(1.,0.,0.)); #29438=DIRECTION('',(0.,0.,-1.)); #29439=DIRECTION('',(0.,0.,-1.)); #29440=DIRECTION('center_axis',(0.,1.,0.)); #29441=DIRECTION('ref_axis',(0.,0.,1.)); #29442=DIRECTION('',(0.,0.,1.)); #29443=DIRECTION('',(1.,0.,0.)); #29444=DIRECTION('',(0.,0.,-1.)); #29445=DIRECTION('',(0.,0.,-1.)); #29446=DIRECTION('',(1.,0.,0.)); #29447=DIRECTION('',(0.,0.,-1.)); #29448=DIRECTION('center_axis',(0.,1.,0.)); #29449=DIRECTION('ref_axis',(0.,0.,1.)); #29450=DIRECTION('',(0.,0.,1.)); #29451=DIRECTION('',(1.,0.,0.)); #29452=DIRECTION('',(0.,0.,-1.)); #29453=DIRECTION('',(0.,0.,-1.)); #29454=DIRECTION('',(1.,0.,0.)); #29455=DIRECTION('',(0.,0.,-1.)); #29456=DIRECTION('center_axis',(0.,1.,0.)); #29457=DIRECTION('ref_axis',(0.,0.,1.)); #29458=DIRECTION('',(1.,0.,0.)); #29459=DIRECTION('',(0.,0.,-1.)); #29460=DIRECTION('',(0.,0.,1.)); #29461=DIRECTION('',(1.,0.,0.)); #29462=DIRECTION('',(0.,0.,-1.)); #29463=DIRECTION('',(0.,0.,-1.)); #29464=DIRECTION('center_axis',(0.,1.,0.)); #29465=DIRECTION('ref_axis',(0.,0.,1.)); #29466=DIRECTION('',(0.,0.,1.)); #29467=DIRECTION('',(1.,0.,0.)); #29468=DIRECTION('',(0.,0.,-1.)); #29469=DIRECTION('',(0.,0.,-1.)); #29470=DIRECTION('',(1.,0.,0.)); #29471=DIRECTION('',(0.,0.,-1.)); #29472=DIRECTION('center_axis',(0.,1.,0.)); #29473=DIRECTION('ref_axis',(0.,0.,1.)); #29474=DIRECTION('',(1.,0.,0.)); #29475=DIRECTION('',(0.,0.,-1.)); #29476=DIRECTION('',(0.,0.,1.)); #29477=DIRECTION('',(1.,0.,0.)); #29478=DIRECTION('',(0.,0.,-1.)); #29479=DIRECTION('',(0.,0.,-1.)); #29480=DIRECTION('center_axis',(0.,1.,0.)); #29481=DIRECTION('ref_axis',(0.,0.,1.)); #29482=DIRECTION('',(0.,0.,1.)); #29483=DIRECTION('',(1.,0.,0.)); #29484=DIRECTION('',(0.,0.,-1.)); #29485=DIRECTION('',(0.,0.,-1.)); #29486=DIRECTION('',(1.,0.,0.)); #29487=DIRECTION('',(0.,0.,-1.)); #29488=DIRECTION('center_axis',(0.,1.,0.)); #29489=DIRECTION('ref_axis',(0.,0.,1.)); #29490=DIRECTION('',(1.,0.,0.)); #29491=DIRECTION('',(0.,0.,-1.)); #29492=DIRECTION('',(0.,0.,1.)); #29493=DIRECTION('',(1.,0.,0.)); #29494=DIRECTION('',(0.,0.,-1.)); #29495=DIRECTION('',(0.,0.,-1.)); #29496=DIRECTION('center_axis',(0.,1.,0.)); #29497=DIRECTION('ref_axis',(0.,0.,1.)); #29498=DIRECTION('',(0.,0.,1.)); #29499=DIRECTION('',(1.,0.,0.)); #29500=DIRECTION('',(0.,0.,-1.)); #29501=DIRECTION('',(0.,0.,-1.)); #29502=DIRECTION('center_axis',(0.,-1.,0.)); #29503=DIRECTION('ref_axis',(0.,0.,-1.)); #29504=DIRECTION('',(0.,0.,1.)); #29505=DIRECTION('',(-1.,0.,0.)); #29506=DIRECTION('',(0.,0.,-1.)); #29507=DIRECTION('',(0.,0.,-1.)); #29508=DIRECTION('',(-1.,0.,0.)); #29509=DIRECTION('',(0.,0.,-1.)); #29510=DIRECTION('center_axis',(0.,-1.,0.)); #29511=DIRECTION('ref_axis',(0.,0.,-1.)); #29512=DIRECTION('',(-1.,0.,0.)); #29513=DIRECTION('',(0.,0.,-1.)); #29514=DIRECTION('',(0.,0.,1.)); #29515=DIRECTION('',(-1.,0.,0.)); #29516=DIRECTION('',(0.,0.,-1.)); #29517=DIRECTION('',(0.,0.,-1.)); #29518=DIRECTION('center_axis',(0.,-1.,0.)); #29519=DIRECTION('ref_axis',(0.,0.,-1.)); #29520=DIRECTION('',(0.,0.,1.)); #29521=DIRECTION('',(-1.,0.,0.)); #29522=DIRECTION('',(0.,0.,-1.)); #29523=DIRECTION('',(0.,0.,-1.)); #29524=DIRECTION('',(-1.,0.,0.)); #29525=DIRECTION('',(0.,0.,-1.)); #29526=DIRECTION('center_axis',(0.,-1.,0.)); #29527=DIRECTION('ref_axis',(0.,0.,-1.)); #29528=DIRECTION('',(-1.,0.,0.)); #29529=DIRECTION('',(0.,0.,-1.)); #29530=DIRECTION('',(0.,0.,1.)); #29531=DIRECTION('',(-1.,0.,0.)); #29532=DIRECTION('',(0.,0.,-1.)); #29533=DIRECTION('',(0.,0.,-1.)); #29534=DIRECTION('center_axis',(0.,-1.,0.)); #29535=DIRECTION('ref_axis',(0.,0.,-1.)); #29536=DIRECTION('',(0.,0.,1.)); #29537=DIRECTION('',(-1.,0.,0.)); #29538=DIRECTION('',(0.,0.,-1.)); #29539=DIRECTION('',(0.,0.,-1.)); #29540=DIRECTION('',(-1.,0.,0.)); #29541=DIRECTION('',(0.,0.,-1.)); #29542=DIRECTION('center_axis',(0.,-1.,0.)); #29543=DIRECTION('ref_axis',(0.,0.,-1.)); #29544=DIRECTION('',(-1.,0.,0.)); #29545=DIRECTION('',(0.,0.,-1.)); #29546=DIRECTION('',(0.,0.,1.)); #29547=DIRECTION('',(-1.,0.,0.)); #29548=DIRECTION('',(0.,0.,-1.)); #29549=DIRECTION('',(0.,0.,-1.)); #29550=DIRECTION('center_axis',(0.,-1.,0.)); #29551=DIRECTION('ref_axis',(0.,0.,-1.)); #29552=DIRECTION('',(-1.,0.,0.)); #29553=DIRECTION('',(0.,0.,-1.)); #29554=DIRECTION('',(0.,0.,1.)); #29555=DIRECTION('',(-1.,0.,0.)); #29556=DIRECTION('',(0.,0.,-1.)); #29557=DIRECTION('',(0.,0.,-1.)); #29558=DIRECTION('center_axis',(0.,-1.,0.)); #29559=DIRECTION('ref_axis',(0.,0.,-1.)); #29560=DIRECTION('',(-1.,0.,0.)); #29561=DIRECTION('',(0.,0.,-1.)); #29562=DIRECTION('',(0.,0.,1.)); #29563=DIRECTION('',(-1.,0.,0.)); #29564=DIRECTION('',(0.,0.,-1.)); #29565=DIRECTION('',(0.,0.,-1.)); #29566=DIRECTION('center_axis',(0.,-1.,0.)); #29567=DIRECTION('ref_axis',(0.,0.,-1.)); #29568=DIRECTION('',(0.,0.,1.)); #29569=DIRECTION('',(-1.,0.,0.)); #29570=DIRECTION('',(0.,0.,-1.)); #29571=DIRECTION('',(0.,0.,-1.)); #29572=DIRECTION('',(-1.,0.,0.)); #29573=DIRECTION('',(0.,0.,-1.)); #29574=DIRECTION('center_axis',(0.,-1.,0.)); #29575=DIRECTION('ref_axis',(0.,0.,-1.)); #29576=DIRECTION('',(-1.,0.,0.)); #29577=DIRECTION('',(0.,0.,-1.)); #29578=DIRECTION('',(0.,0.,1.)); #29579=DIRECTION('',(-1.,0.,0.)); #29580=DIRECTION('',(0.,0.,-1.)); #29581=DIRECTION('',(0.,0.,-1.)); #29582=DIRECTION('center_axis',(0.,-1.,0.)); #29583=DIRECTION('ref_axis',(0.,0.,-1.)); #29584=DIRECTION('',(0.,0.,1.)); #29585=DIRECTION('',(-1.,0.,0.)); #29586=DIRECTION('',(0.,0.,-1.)); #29587=DIRECTION('',(0.,0.,-1.)); #29588=DIRECTION('center_axis',(0.,-1.,0.)); #29589=DIRECTION('ref_axis',(0.,0.,-1.)); #29590=DIRECTION('',(-1.,0.,0.)); #29591=DIRECTION('',(0.,0.,-1.)); #29592=DIRECTION('',(0.,0.,1.)); #29593=DIRECTION('',(-1.,0.,0.)); #29594=DIRECTION('',(0.,0.,-1.)); #29595=DIRECTION('',(0.,0.,-1.)); #29596=DIRECTION('center_axis',(0.,-1.,0.)); #29597=DIRECTION('ref_axis',(0.,0.,-1.)); #29598=DIRECTION('',(0.,0.,1.)); #29599=DIRECTION('',(-1.,0.,0.)); #29600=DIRECTION('',(0.,0.,-1.)); #29601=DIRECTION('',(0.,0.,-1.)); #29602=DIRECTION('',(-1.,0.,0.)); #29603=DIRECTION('',(0.,0.,-1.)); #29604=DIRECTION('center_axis',(0.,-1.,0.)); #29605=DIRECTION('ref_axis',(0.,0.,-1.)); #29606=DIRECTION('',(-1.,0.,0.)); #29607=DIRECTION('',(0.,0.,-1.)); #29608=DIRECTION('',(0.,0.,1.)); #29609=DIRECTION('',(-1.,0.,0.)); #29610=DIRECTION('',(0.,0.,-1.)); #29611=DIRECTION('',(0.,0.,-1.)); #29612=DIRECTION('center_axis',(0.,-1.,0.)); #29613=DIRECTION('ref_axis',(0.,0.,-1.)); #29614=DIRECTION('',(-1.,0.,0.)); #29615=DIRECTION('',(0.,0.,-1.)); #29616=DIRECTION('',(0.,0.,1.)); #29617=DIRECTION('',(-1.,0.,0.)); #29618=DIRECTION('',(0.,0.,-1.)); #29619=DIRECTION('',(0.,0.,-1.)); #29620=DIRECTION('center_axis',(0.,-1.,0.)); #29621=DIRECTION('ref_axis',(0.,0.,-1.)); #29622=DIRECTION('',(-1.,0.,0.)); #29623=DIRECTION('',(0.,0.,-1.)); #29624=DIRECTION('',(0.,0.,1.)); #29625=DIRECTION('',(-1.,0.,0.)); #29626=DIRECTION('',(0.,0.,-1.)); #29627=DIRECTION('',(0.,0.,-1.)); #29628=DIRECTION('center_axis',(1.,0.,0.)); #29629=DIRECTION('ref_axis',(0.,0.,-1.)); #29630=DIRECTION('',(0.,0.,-1.)); #29631=DIRECTION('center_axis',(0.,1.,0.)); #29632=DIRECTION('ref_axis',(0.,0.,1.)); #29633=DIRECTION('',(1.,0.,0.)); #29634=DIRECTION('',(0.,0.,-1.)); #29635=DIRECTION('',(0.,0.,-1.)); #29636=DIRECTION('center_axis',(0.,-1.,0.)); #29637=DIRECTION('ref_axis',(0.,0.,-1.)); #29638=DIRECTION('',(-1.,0.,0.)); #29639=DIRECTION('',(0.,0.,-1.)); #29640=DIRECTION('',(0.,0.,-1.)); #29641=DIRECTION('center_axis',(1.,-1.23908819712629E-15,0.)); #29642=DIRECTION('ref_axis',(1.23908819712629E-15,1.,0.)); #29643=DIRECTION('',(-1.23908819712629E-15,-1.,0.)); #29644=DIRECTION('',(0.,0.,-1.)); #29645=DIRECTION('center_axis',(-1.,1.23908819712629E-15,0.)); #29646=DIRECTION('ref_axis',(-1.23908819712629E-15,-1.,0.)); #29647=DIRECTION('',(0.,0.,-1.)); #29648=DIRECTION('',(1.23908819712629E-15,1.,0.)); #29649=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #29650=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #29651=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29652=DIRECTION('center_axis',(0.,0.,-1.)); #29653=DIRECTION('ref_axis',(-1.,0.,0.)); #29654=DIRECTION('center_axis',(0.,0.,1.)); #29655=DIRECTION('ref_axis',(1.,0.,0.)); #29656=DIRECTION('center_axis',(1.,-6.19544098563146E-16,0.)); #29657=DIRECTION('ref_axis',(6.19544098563146E-16,1.,0.)); #29658=DIRECTION('',(0.,0.,-1.)); #29659=DIRECTION('',(-6.19544098563146E-16,-1.,0.)); #29660=DIRECTION('center_axis',(0.,1.,0.)); #29661=DIRECTION('ref_axis',(0.,0.,1.)); #29662=DIRECTION('',(0.,0.,-1.)); #29663=DIRECTION('',(1.,0.,0.)); #29664=DIRECTION('center_axis',(-1.,-6.19544098563146E-16,0.)); #29665=DIRECTION('ref_axis',(6.19544098563146E-16,-1.,0.)); #29666=DIRECTION('',(-6.19544098563146E-16,1.,0.)); #29667=DIRECTION('center_axis',(0.,0.,1.)); #29668=DIRECTION('ref_axis',(1.,0.,0.)); #29669=DIRECTION('center_axis',(0.,0.,1.)); #29670=DIRECTION('ref_axis',(1.,0.,0.)); #29671=DIRECTION('center_axis',(-1.,0.,0.)); #29672=DIRECTION('ref_axis',(0.,0.,1.)); #29673=DIRECTION('',(0.,0.,-1.)); #29674=DIRECTION('',(0.,1.,0.)); #29675=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #29676=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #29677=DIRECTION('',(0.,0.,-1.)); #29678=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29679=DIRECTION('center_axis',(1.,-1.23908819712629E-15,0.)); #29680=DIRECTION('ref_axis',(1.23908819712629E-15,1.,0.)); #29681=DIRECTION('',(-1.23908819712629E-15,-1.,0.)); #29682=DIRECTION('center_axis',(0.,0.,1.)); #29683=DIRECTION('ref_axis',(1.,0.,0.)); #29684=DIRECTION('center_axis',(0.,0.,1.)); #29685=DIRECTION('ref_axis',(1.,0.,0.)); #29686=DIRECTION('center_axis',(1.,-6.19544098563146E-16,0.)); #29687=DIRECTION('ref_axis',(6.19544098563146E-16,1.,0.)); #29688=DIRECTION('',(0.,0.,-1.)); #29689=DIRECTION('',(-6.19544098563146E-16,-1.,0.)); #29690=DIRECTION('center_axis',(0.,1.,0.)); #29691=DIRECTION('ref_axis',(0.,0.,1.)); #29692=DIRECTION('',(0.,0.,-1.)); #29693=DIRECTION('',(1.,0.,0.)); #29694=DIRECTION('center_axis',(-1.,-1.23908819712629E-15,0.)); #29695=DIRECTION('ref_axis',(1.23908819712629E-15,-1.,0.)); #29696=DIRECTION('',(-1.23908819712629E-15,1.,0.)); #29697=DIRECTION('center_axis',(0.,0.,-1.)); #29698=DIRECTION('ref_axis',(-1.,0.,0.)); #29699=DIRECTION('center_axis',(0.,0.,1.)); #29700=DIRECTION('ref_axis',(1.,0.,0.)); #29701=DIRECTION('center_axis',(-1.,0.,0.)); #29702=DIRECTION('ref_axis',(0.,0.,1.)); #29703=DIRECTION('',(0.,0.,-1.)); #29704=DIRECTION('',(0.,1.,0.)); #29705=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #29706=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #29707=DIRECTION('',(0.,0.,-1.)); #29708=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #29709=DIRECTION('center_axis',(1.,-2.47817639425258E-15,0.)); #29710=DIRECTION('ref_axis',(2.47817639425258E-15,1.,0.)); #29711=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #29712=DIRECTION('center_axis',(0.,0.,1.)); #29713=DIRECTION('ref_axis',(1.,0.,0.)); #29714=DIRECTION('center_axis',(0.,0.,1.)); #29715=DIRECTION('ref_axis',(1.,0.,0.)); #29716=DIRECTION('center_axis',(1.,0.,0.)); #29717=DIRECTION('ref_axis',(0.,0.,-1.)); #29718=DIRECTION('',(0.,0.,-1.)); #29719=DIRECTION('',(0.,-1.,0.)); #29720=DIRECTION('center_axis',(0.,1.,0.)); #29721=DIRECTION('ref_axis',(0.,0.,1.)); #29722=DIRECTION('',(0.,0.,-1.)); #29723=DIRECTION('',(1.,0.,0.)); #29724=DIRECTION('center_axis',(-1.,-1.23908819712629E-15,0.)); #29725=DIRECTION('ref_axis',(1.23908819712629E-15,-1.,0.)); #29726=DIRECTION('',(-1.23908819712629E-15,1.,0.)); #29727=DIRECTION('center_axis',(0.,0.,-1.)); #29728=DIRECTION('ref_axis',(-1.,0.,0.)); #29729=DIRECTION('center_axis',(0.,0.,1.)); #29730=DIRECTION('ref_axis',(1.,0.,0.)); #29731=DIRECTION('center_axis',(-1.,0.,0.)); #29732=DIRECTION('ref_axis',(0.,0.,1.)); #29733=DIRECTION('',(0.,0.,-1.)); #29734=DIRECTION('',(0.,1.,0.)); #29735=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #29736=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #29737=DIRECTION('',(0.,0.,-1.)); #29738=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #29739=DIRECTION('center_axis',(1.,-2.47817639425258E-15,0.)); #29740=DIRECTION('ref_axis',(2.47817639425258E-15,1.,0.)); #29741=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #29742=DIRECTION('center_axis',(0.,0.,1.)); #29743=DIRECTION('ref_axis',(1.,0.,0.)); #29744=DIRECTION('center_axis',(0.,0.,1.)); #29745=DIRECTION('ref_axis',(1.,0.,0.)); #29746=DIRECTION('center_axis',(1.,0.,0.)); #29747=DIRECTION('ref_axis',(0.,0.,-1.)); #29748=DIRECTION('',(0.,0.,-1.)); #29749=DIRECTION('',(0.,-1.,0.)); #29750=DIRECTION('center_axis',(0.,1.,0.)); #29751=DIRECTION('ref_axis',(0.,0.,1.)); #29752=DIRECTION('',(0.,0.,-1.)); #29753=DIRECTION('',(1.,0.,0.)); #29754=DIRECTION('center_axis',(-1.,0.,0.)); #29755=DIRECTION('ref_axis',(0.,0.,1.)); #29756=DIRECTION('',(0.,1.,0.)); #29757=DIRECTION('center_axis',(0.,0.,-1.)); #29758=DIRECTION('ref_axis',(-1.,0.,0.)); #29759=DIRECTION('center_axis',(0.,0.,1.)); #29760=DIRECTION('ref_axis',(1.,0.,0.)); #29761=DIRECTION('center_axis',(-1.,0.,0.)); #29762=DIRECTION('ref_axis',(0.,0.,1.)); #29763=DIRECTION('',(0.,0.,-1.)); #29764=DIRECTION('',(0.,1.,0.)); #29765=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #29766=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #29767=DIRECTION('',(0.,0.,-1.)); #29768=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #29769=DIRECTION('center_axis',(1.,-2.47817639425258E-15,0.)); #29770=DIRECTION('ref_axis',(2.47817639425258E-15,1.,0.)); #29771=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #29772=DIRECTION('center_axis',(0.,0.,1.)); #29773=DIRECTION('ref_axis',(1.,0.,0.)); #29774=DIRECTION('center_axis',(0.,0.,1.)); #29775=DIRECTION('ref_axis',(1.,0.,0.)); #29776=DIRECTION('center_axis',(1.,0.,0.)); #29777=DIRECTION('ref_axis',(0.,0.,-1.)); #29778=DIRECTION('',(0.,0.,-1.)); #29779=DIRECTION('',(0.,-1.,0.)); #29780=DIRECTION('center_axis',(0.,1.,0.)); #29781=DIRECTION('ref_axis',(0.,0.,1.)); #29782=DIRECTION('',(0.,0.,-1.)); #29783=DIRECTION('',(1.,0.,0.)); #29784=DIRECTION('center_axis',(-1.,-2.47817639425258E-15,0.)); #29785=DIRECTION('ref_axis',(2.47817639425258E-15,-1.,0.)); #29786=DIRECTION('',(-2.47817639425258E-15,1.,0.)); #29787=DIRECTION('center_axis',(0.,0.,-1.)); #29788=DIRECTION('ref_axis',(-1.,0.,0.)); #29789=DIRECTION('center_axis',(0.,0.,1.)); #29790=DIRECTION('ref_axis',(1.,0.,0.)); #29791=DIRECTION('center_axis',(-1.,0.,0.)); #29792=DIRECTION('ref_axis',(0.,0.,1.)); #29793=DIRECTION('',(0.,0.,-1.)); #29794=DIRECTION('',(0.,1.,0.)); #29795=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #29796=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #29797=DIRECTION('',(0.,0.,-1.)); #29798=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #29799=DIRECTION('center_axis',(1.,-2.47817639425258E-15,0.)); #29800=DIRECTION('ref_axis',(2.47817639425258E-15,1.,0.)); #29801=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #29802=DIRECTION('center_axis',(0.,0.,1.)); #29803=DIRECTION('ref_axis',(1.,0.,0.)); #29804=DIRECTION('center_axis',(0.,0.,1.)); #29805=DIRECTION('ref_axis',(1.,0.,0.)); #29806=DIRECTION('center_axis',(1.,0.,0.)); #29807=DIRECTION('ref_axis',(0.,0.,-1.)); #29808=DIRECTION('',(0.,0.,-1.)); #29809=DIRECTION('',(0.,-1.,0.)); #29810=DIRECTION('center_axis',(0.,1.,0.)); #29811=DIRECTION('ref_axis',(0.,0.,1.)); #29812=DIRECTION('',(0.,0.,-1.)); #29813=DIRECTION('',(1.,0.,0.)); #29814=DIRECTION('center_axis',(-1.,0.,0.)); #29815=DIRECTION('ref_axis',(0.,0.,1.)); #29816=DIRECTION('',(0.,1.,0.)); #29817=DIRECTION('center_axis',(0.,0.,-1.)); #29818=DIRECTION('ref_axis',(-1.,0.,0.)); #29819=DIRECTION('center_axis',(0.,0.,1.)); #29820=DIRECTION('ref_axis',(1.,0.,0.)); #29821=DIRECTION('center_axis',(-1.,0.,0.)); #29822=DIRECTION('ref_axis',(0.,0.,1.)); #29823=DIRECTION('',(0.,0.,-1.)); #29824=DIRECTION('',(0.,1.,0.)); #29825=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #29826=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #29827=DIRECTION('',(0.,0.,-1.)); #29828=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #29829=DIRECTION('center_axis',(1.,-2.47817639425258E-15,0.)); #29830=DIRECTION('ref_axis',(2.47817639425258E-15,1.,0.)); #29831=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #29832=DIRECTION('center_axis',(0.,0.,1.)); #29833=DIRECTION('ref_axis',(1.,0.,0.)); #29834=DIRECTION('center_axis',(0.,0.,1.)); #29835=DIRECTION('ref_axis',(1.,0.,0.)); #29836=DIRECTION('center_axis',(1.,0.,0.)); #29837=DIRECTION('ref_axis',(0.,0.,-1.)); #29838=DIRECTION('',(0.,0.,-1.)); #29839=DIRECTION('',(0.,-1.,0.)); #29840=DIRECTION('center_axis',(0.,1.,0.)); #29841=DIRECTION('ref_axis',(0.,0.,1.)); #29842=DIRECTION('',(0.,0.,-1.)); #29843=DIRECTION('',(1.,0.,0.)); #29844=DIRECTION('center_axis',(-1.,-2.47817639425258E-15,0.)); #29845=DIRECTION('ref_axis',(2.47817639425258E-15,-1.,0.)); #29846=DIRECTION('',(-2.47817639425258E-15,1.,0.)); #29847=DIRECTION('center_axis',(0.,0.,-1.)); #29848=DIRECTION('ref_axis',(-1.,0.,0.)); #29849=DIRECTION('center_axis',(0.,0.,1.)); #29850=DIRECTION('ref_axis',(1.,0.,0.)); #29851=DIRECTION('center_axis',(-1.,4.95635278850516E-15,0.)); #29852=DIRECTION('ref_axis',(-4.95635278850516E-15,-1.,0.)); #29853=DIRECTION('',(0.,0.,-1.)); #29854=DIRECTION('',(4.95635278850516E-15,1.,0.)); #29855=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #29856=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #29857=DIRECTION('',(0.,0.,-1.)); #29858=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29859=DIRECTION('center_axis',(1.,-2.47817639425258E-15,0.)); #29860=DIRECTION('ref_axis',(2.47817639425258E-15,1.,0.)); #29861=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #29862=DIRECTION('center_axis',(0.,0.,1.)); #29863=DIRECTION('ref_axis',(1.,0.,0.)); #29864=DIRECTION('center_axis',(0.,0.,1.)); #29865=DIRECTION('ref_axis',(1.,0.,0.)); #29866=DIRECTION('center_axis',(1.,0.,0.)); #29867=DIRECTION('ref_axis',(0.,0.,-1.)); #29868=DIRECTION('',(0.,0.,-1.)); #29869=DIRECTION('',(0.,-1.,0.)); #29870=DIRECTION('center_axis',(0.,1.,0.)); #29871=DIRECTION('ref_axis',(0.,0.,1.)); #29872=DIRECTION('',(0.,0.,-1.)); #29873=DIRECTION('',(1.,0.,0.)); #29874=DIRECTION('center_axis',(-1.,0.,0.)); #29875=DIRECTION('ref_axis',(0.,0.,1.)); #29876=DIRECTION('',(0.,1.,0.)); #29877=DIRECTION('center_axis',(0.,0.,-1.)); #29878=DIRECTION('ref_axis',(-1.,0.,0.)); #29879=DIRECTION('center_axis',(0.,0.,1.)); #29880=DIRECTION('ref_axis',(1.,0.,0.)); #29881=DIRECTION('center_axis',(-1.,0.,0.)); #29882=DIRECTION('ref_axis',(0.,0.,1.)); #29883=DIRECTION('',(0.,0.,-1.)); #29884=DIRECTION('',(0.,1.,0.)); #29885=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #29886=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #29887=DIRECTION('',(0.,0.,-1.)); #29888=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29889=DIRECTION('center_axis',(1.,0.,0.)); #29890=DIRECTION('ref_axis',(0.,0.,-1.)); #29891=DIRECTION('',(0.,-1.,0.)); #29892=DIRECTION('center_axis',(0.,0.,1.)); #29893=DIRECTION('ref_axis',(1.,0.,0.)); #29894=DIRECTION('center_axis',(0.,0.,1.)); #29895=DIRECTION('ref_axis',(1.,0.,0.)); #29896=DIRECTION('center_axis',(1.,0.,0.)); #29897=DIRECTION('ref_axis',(0.,0.,-1.)); #29898=DIRECTION('',(0.,0.,-1.)); #29899=DIRECTION('',(0.,-1.,0.)); #29900=DIRECTION('center_axis',(0.,1.,0.)); #29901=DIRECTION('ref_axis',(0.,0.,1.)); #29902=DIRECTION('',(0.,0.,-1.)); #29903=DIRECTION('',(1.,0.,0.)); #29904=DIRECTION('center_axis',(-1.,0.,0.)); #29905=DIRECTION('ref_axis',(0.,0.,1.)); #29906=DIRECTION('',(0.,1.,0.)); #29907=DIRECTION('center_axis',(0.,0.,-1.)); #29908=DIRECTION('ref_axis',(-1.,0.,0.)); #29909=DIRECTION('center_axis',(0.,0.,1.)); #29910=DIRECTION('ref_axis',(1.,0.,0.)); #29911=DIRECTION('center_axis',(-1.,0.,0.)); #29912=DIRECTION('ref_axis',(0.,0.,1.)); #29913=DIRECTION('',(0.,0.,-1.)); #29914=DIRECTION('',(0.,1.,0.)); #29915=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #29916=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #29917=DIRECTION('',(0.,0.,-1.)); #29918=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29919=DIRECTION('center_axis',(1.,0.,0.)); #29920=DIRECTION('ref_axis',(0.,0.,-1.)); #29921=DIRECTION('',(0.,-1.,0.)); #29922=DIRECTION('center_axis',(0.,0.,1.)); #29923=DIRECTION('ref_axis',(1.,0.,0.)); #29924=DIRECTION('center_axis',(0.,0.,1.)); #29925=DIRECTION('ref_axis',(1.,0.,0.)); #29926=DIRECTION('center_axis',(1.,0.,0.)); #29927=DIRECTION('ref_axis',(0.,0.,-1.)); #29928=DIRECTION('',(0.,0.,-1.)); #29929=DIRECTION('',(0.,-1.,0.)); #29930=DIRECTION('center_axis',(0.,1.,0.)); #29931=DIRECTION('ref_axis',(0.,0.,1.)); #29932=DIRECTION('',(0.,0.,-1.)); #29933=DIRECTION('',(1.,0.,0.)); #29934=DIRECTION('center_axis',(-1.,0.,0.)); #29935=DIRECTION('ref_axis',(0.,0.,1.)); #29936=DIRECTION('',(0.,1.,0.)); #29937=DIRECTION('center_axis',(0.,0.,-1.)); #29938=DIRECTION('ref_axis',(-1.,0.,0.)); #29939=DIRECTION('center_axis',(0.,0.,1.)); #29940=DIRECTION('ref_axis',(1.,0.,0.)); #29941=DIRECTION('center_axis',(-1.,0.,0.)); #29942=DIRECTION('ref_axis',(0.,0.,1.)); #29943=DIRECTION('',(0.,0.,-1.)); #29944=DIRECTION('',(0.,1.,0.)); #29945=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #29946=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #29947=DIRECTION('',(0.,0.,-1.)); #29948=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29949=DIRECTION('center_axis',(1.,0.,0.)); #29950=DIRECTION('ref_axis',(0.,0.,-1.)); #29951=DIRECTION('',(0.,-1.,0.)); #29952=DIRECTION('center_axis',(0.,0.,1.)); #29953=DIRECTION('ref_axis',(1.,0.,0.)); #29954=DIRECTION('center_axis',(0.,0.,1.)); #29955=DIRECTION('ref_axis',(1.,0.,0.)); #29956=DIRECTION('center_axis',(1.,0.,0.)); #29957=DIRECTION('ref_axis',(0.,0.,-1.)); #29958=DIRECTION('',(0.,0.,-1.)); #29959=DIRECTION('',(0.,-1.,0.)); #29960=DIRECTION('center_axis',(0.,1.,0.)); #29961=DIRECTION('ref_axis',(0.,0.,1.)); #29962=DIRECTION('',(0.,0.,-1.)); #29963=DIRECTION('',(1.,0.,0.)); #29964=DIRECTION('center_axis',(-1.,0.,0.)); #29965=DIRECTION('ref_axis',(0.,0.,1.)); #29966=DIRECTION('',(0.,1.,0.)); #29967=DIRECTION('center_axis',(0.,0.,-1.)); #29968=DIRECTION('ref_axis',(-1.,0.,0.)); #29969=DIRECTION('center_axis',(0.,0.,1.)); #29970=DIRECTION('ref_axis',(1.,0.,0.)); #29971=DIRECTION('center_axis',(-1.,0.,0.)); #29972=DIRECTION('ref_axis',(0.,0.,1.)); #29973=DIRECTION('',(0.,0.,-1.)); #29974=DIRECTION('',(0.,1.,0.)); #29975=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #29976=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #29977=DIRECTION('',(0.,0.,-1.)); #29978=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #29979=DIRECTION('center_axis',(1.,0.,0.)); #29980=DIRECTION('ref_axis',(0.,0.,-1.)); #29981=DIRECTION('',(0.,-1.,0.)); #29982=DIRECTION('center_axis',(0.,0.,1.)); #29983=DIRECTION('ref_axis',(1.,0.,0.)); #29984=DIRECTION('center_axis',(0.,0.,1.)); #29985=DIRECTION('ref_axis',(1.,0.,0.)); #29986=DIRECTION('center_axis',(1.,0.,0.)); #29987=DIRECTION('ref_axis',(0.,0.,-1.)); #29988=DIRECTION('',(0.,0.,-1.)); #29989=DIRECTION('',(0.,-1.,0.)); #29990=DIRECTION('center_axis',(0.,1.,0.)); #29991=DIRECTION('ref_axis',(0.,0.,1.)); #29992=DIRECTION('',(0.,0.,-1.)); #29993=DIRECTION('',(1.,0.,0.)); #29994=DIRECTION('center_axis',(-1.,0.,0.)); #29995=DIRECTION('ref_axis',(0.,0.,1.)); #29996=DIRECTION('',(0.,1.,0.)); #29997=DIRECTION('center_axis',(0.,0.,-1.)); #29998=DIRECTION('ref_axis',(-1.,0.,0.)); #29999=DIRECTION('center_axis',(0.,0.,1.)); #30000=DIRECTION('ref_axis',(1.,0.,0.)); #30001=DIRECTION('center_axis',(-1.,0.,0.)); #30002=DIRECTION('ref_axis',(0.,0.,1.)); #30003=DIRECTION('',(0.,0.,-1.)); #30004=DIRECTION('',(0.,1.,0.)); #30005=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #30006=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #30007=DIRECTION('',(0.,0.,-1.)); #30008=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #30009=DIRECTION('center_axis',(1.,0.,0.)); #30010=DIRECTION('ref_axis',(0.,0.,-1.)); #30011=DIRECTION('',(0.,-1.,0.)); #30012=DIRECTION('center_axis',(0.,0.,1.)); #30013=DIRECTION('ref_axis',(1.,0.,0.)); #30014=DIRECTION('center_axis',(0.,0.,1.)); #30015=DIRECTION('ref_axis',(1.,0.,0.)); #30016=DIRECTION('center_axis',(1.,0.,0.)); #30017=DIRECTION('ref_axis',(0.,0.,-1.)); #30018=DIRECTION('',(0.,0.,-1.)); #30019=DIRECTION('',(0.,-1.,0.)); #30020=DIRECTION('center_axis',(0.,1.,0.)); #30021=DIRECTION('ref_axis',(0.,0.,1.)); #30022=DIRECTION('',(0.,0.,-1.)); #30023=DIRECTION('',(1.,0.,0.)); #30024=DIRECTION('center_axis',(-1.,0.,0.)); #30025=DIRECTION('ref_axis',(0.,0.,1.)); #30026=DIRECTION('',(0.,1.,0.)); #30027=DIRECTION('center_axis',(0.,0.,-1.)); #30028=DIRECTION('ref_axis',(-1.,0.,0.)); #30029=DIRECTION('center_axis',(0.,0.,1.)); #30030=DIRECTION('ref_axis',(1.,0.,0.)); #30031=DIRECTION('center_axis',(-1.,0.,0.)); #30032=DIRECTION('ref_axis',(0.,0.,1.)); #30033=DIRECTION('',(0.,0.,-1.)); #30034=DIRECTION('',(0.,1.,0.)); #30035=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #30036=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #30037=DIRECTION('',(0.,0.,-1.)); #30038=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #30039=DIRECTION('center_axis',(1.,0.,0.)); #30040=DIRECTION('ref_axis',(0.,0.,-1.)); #30041=DIRECTION('',(0.,-1.,0.)); #30042=DIRECTION('center_axis',(0.,0.,1.)); #30043=DIRECTION('ref_axis',(1.,0.,0.)); #30044=DIRECTION('center_axis',(0.,0.,1.)); #30045=DIRECTION('ref_axis',(1.,0.,0.)); #30046=DIRECTION('center_axis',(1.,0.,0.)); #30047=DIRECTION('ref_axis',(0.,0.,-1.)); #30048=DIRECTION('',(0.,0.,-1.)); #30049=DIRECTION('',(0.,-1.,0.)); #30050=DIRECTION('center_axis',(0.,1.,0.)); #30051=DIRECTION('ref_axis',(0.,0.,1.)); #30052=DIRECTION('',(0.,0.,-1.)); #30053=DIRECTION('',(1.,0.,0.)); #30054=DIRECTION('center_axis',(-1.,0.,0.)); #30055=DIRECTION('ref_axis',(0.,0.,1.)); #30056=DIRECTION('',(0.,1.,0.)); #30057=DIRECTION('center_axis',(0.,0.,-1.)); #30058=DIRECTION('ref_axis',(-1.,0.,0.)); #30059=DIRECTION('center_axis',(0.,0.,1.)); #30060=DIRECTION('ref_axis',(1.,0.,0.)); #30061=DIRECTION('center_axis',(-1.,0.,0.)); #30062=DIRECTION('ref_axis',(0.,0.,1.)); #30063=DIRECTION('',(0.,0.,-1.)); #30064=DIRECTION('',(0.,1.,0.)); #30065=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #30066=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #30067=DIRECTION('',(0.,0.,-1.)); #30068=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #30069=DIRECTION('center_axis',(1.,0.,0.)); #30070=DIRECTION('ref_axis',(0.,0.,-1.)); #30071=DIRECTION('',(0.,-1.,0.)); #30072=DIRECTION('center_axis',(0.,0.,1.)); #30073=DIRECTION('ref_axis',(1.,0.,0.)); #30074=DIRECTION('center_axis',(0.,0.,1.)); #30075=DIRECTION('ref_axis',(1.,0.,0.)); #30076=DIRECTION('center_axis',(1.,0.,0.)); #30077=DIRECTION('ref_axis',(0.,0.,-1.)); #30078=DIRECTION('',(0.,0.,-1.)); #30079=DIRECTION('',(0.,-1.,0.)); #30080=DIRECTION('center_axis',(0.,1.,0.)); #30081=DIRECTION('ref_axis',(0.,0.,1.)); #30082=DIRECTION('',(0.,0.,-1.)); #30083=DIRECTION('',(1.,0.,0.)); #30084=DIRECTION('center_axis',(-1.,0.,0.)); #30085=DIRECTION('ref_axis',(0.,0.,1.)); #30086=DIRECTION('',(0.,1.,0.)); #30087=DIRECTION('center_axis',(0.,0.,-1.)); #30088=DIRECTION('ref_axis',(-1.,0.,0.)); #30089=DIRECTION('center_axis',(0.,0.,1.)); #30090=DIRECTION('ref_axis',(1.,0.,0.)); #30091=DIRECTION('center_axis',(-1.,0.,0.)); #30092=DIRECTION('ref_axis',(0.,0.,1.)); #30093=DIRECTION('',(0.,0.,-1.)); #30094=DIRECTION('',(0.,1.,0.)); #30095=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #30096=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #30097=DIRECTION('',(0.,0.,-1.)); #30098=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #30099=DIRECTION('center_axis',(1.,0.,0.)); #30100=DIRECTION('ref_axis',(0.,0.,-1.)); #30101=DIRECTION('',(0.,-1.,0.)); #30102=DIRECTION('center_axis',(0.,0.,1.)); #30103=DIRECTION('ref_axis',(1.,0.,0.)); #30104=DIRECTION('center_axis',(0.,0.,1.)); #30105=DIRECTION('ref_axis',(1.,0.,0.)); #30106=DIRECTION('center_axis',(1.,0.,0.)); #30107=DIRECTION('ref_axis',(0.,0.,-1.)); #30108=DIRECTION('',(0.,0.,-1.)); #30109=DIRECTION('',(0.,-1.,0.)); #30110=DIRECTION('center_axis',(0.,1.,0.)); #30111=DIRECTION('ref_axis',(0.,0.,1.)); #30112=DIRECTION('',(0.,0.,-1.)); #30113=DIRECTION('',(1.,0.,0.)); #30114=DIRECTION('center_axis',(-1.,0.,0.)); #30115=DIRECTION('ref_axis',(0.,0.,1.)); #30116=DIRECTION('',(0.,1.,0.)); #30117=DIRECTION('center_axis',(0.,0.,-1.)); #30118=DIRECTION('ref_axis',(-1.,0.,0.)); #30119=DIRECTION('center_axis',(0.,0.,1.)); #30120=DIRECTION('ref_axis',(1.,0.,0.)); #30121=DIRECTION('center_axis',(0.,0.,1.)); #30122=DIRECTION('ref_axis',(1.,0.,0.)); #30123=DIRECTION('center_axis',(0.,0.,1.)); #30124=DIRECTION('ref_axis',(1.,0.,0.)); #30125=DIRECTION('center_axis',(0.,0.,1.)); #30126=DIRECTION('ref_axis',(1.,0.,0.)); #30127=DIRECTION('center_axis',(0.,0.,1.)); #30128=DIRECTION('ref_axis',(1.,0.,0.)); #30129=DIRECTION('center_axis',(0.,0.,1.)); #30130=DIRECTION('ref_axis',(1.,0.,0.)); #30131=DIRECTION('center_axis',(0.,0.,1.)); #30132=DIRECTION('ref_axis',(1.,0.,0.)); #30133=DIRECTION('center_axis',(0.,0.,1.)); #30134=DIRECTION('ref_axis',(1.,0.,0.)); #30135=DIRECTION('center_axis',(0.,0.,1.)); #30136=DIRECTION('ref_axis',(1.,0.,0.)); #30137=DIRECTION('center_axis',(0.,0.,1.)); #30138=DIRECTION('ref_axis',(1.,0.,0.)); #30139=DIRECTION('center_axis',(0.,0.,1.)); #30140=DIRECTION('ref_axis',(1.,0.,0.)); #30141=DIRECTION('center_axis',(0.,0.,1.)); #30142=DIRECTION('ref_axis',(1.,0.,0.)); #30143=DIRECTION('center_axis',(0.,0.,1.)); #30144=DIRECTION('ref_axis',(1.,0.,0.)); #30145=DIRECTION('center_axis',(0.,0.,1.)); #30146=DIRECTION('ref_axis',(1.,0.,0.)); #30147=DIRECTION('center_axis',(0.,0.,1.)); #30148=DIRECTION('ref_axis',(1.,0.,0.)); #30149=DIRECTION('center_axis',(0.,0.,1.)); #30150=DIRECTION('ref_axis',(1.,0.,0.)); #30151=DIRECTION('center_axis',(0.,0.,1.)); #30152=DIRECTION('ref_axis',(1.,0.,0.)); #30153=DIRECTION('center_axis',(0.,0.,1.)); #30154=DIRECTION('ref_axis',(1.,0.,0.)); #30155=DIRECTION('center_axis',(0.,0.,1.)); #30156=DIRECTION('ref_axis',(1.,0.,0.)); #30157=DIRECTION('center_axis',(0.,0.,1.)); #30158=DIRECTION('ref_axis',(1.,0.,0.)); #30159=DIRECTION('center_axis',(0.,0.,1.)); #30160=DIRECTION('ref_axis',(1.,0.,0.)); #30161=DIRECTION('center_axis',(0.,0.,1.)); #30162=DIRECTION('ref_axis',(1.,0.,0.)); #30163=DIRECTION('center_axis',(0.,0.,1.)); #30164=DIRECTION('ref_axis',(1.,0.,0.)); #30165=DIRECTION('center_axis',(0.,0.,1.)); #30166=DIRECTION('ref_axis',(1.,0.,0.)); #30167=DIRECTION('center_axis',(0.,0.,1.)); #30168=DIRECTION('ref_axis',(1.,0.,0.)); #30169=DIRECTION('center_axis',(0.,0.,1.)); #30170=DIRECTION('ref_axis',(1.,0.,0.)); #30171=DIRECTION('center_axis',(0.,0.,1.)); #30172=DIRECTION('ref_axis',(1.,0.,0.)); #30173=DIRECTION('center_axis',(0.,0.,1.)); #30174=DIRECTION('ref_axis',(1.,0.,0.)); #30175=DIRECTION('center_axis',(0.,0.,1.)); #30176=DIRECTION('ref_axis',(1.,0.,0.)); #30177=DIRECTION('center_axis',(0.,0.,1.)); #30178=DIRECTION('ref_axis',(1.,0.,0.)); #30179=DIRECTION('center_axis',(0.,0.,1.)); #30180=DIRECTION('ref_axis',(1.,0.,0.)); #30181=DIRECTION('center_axis',(0.,0.,1.)); #30182=DIRECTION('ref_axis',(1.,0.,0.)); #30183=DIRECTION('center_axis',(0.,0.,1.)); #30184=DIRECTION('ref_axis',(1.,0.,0.)); #30185=DIRECTION('center_axis',(0.,0.,1.)); #30186=DIRECTION('ref_axis',(1.,0.,0.)); #30187=DIRECTION('',(1.22508720054859E-16,-1.,0.)); #30188=DIRECTION('',(1.,0.,0.)); #30189=DIRECTION('',(-1.96013952087775E-16,1.,0.)); #30190=DIRECTION('',(-1.,0.,0.)); #30191=DIRECTION('',(1.,0.,0.)); #30192=DIRECTION('',(1.2484752289227E-16,-1.,0.)); #30193=DIRECTION('',(-1.,0.,0.)); #30194=DIRECTION('',(-2.10269512239613E-16,1.,0.)); #30195=DIRECTION('center_axis',(1.,1.22508720054859E-16,0.)); #30196=DIRECTION('ref_axis',(-1.22508720054859E-16,1.,0.)); #30197=DIRECTION('',(0.,0.,-1.)); #30198=DIRECTION('',(0.,0.,-1.)); #30199=DIRECTION('center_axis',(0.,1.,0.)); #30200=DIRECTION('ref_axis',(0.,0.,1.)); #30201=DIRECTION('',(0.,0.,-1.)); #30202=DIRECTION('center_axis',(-1.,-1.96013952087775E-16,0.)); #30203=DIRECTION('ref_axis',(1.96013952087775E-16,-1.,0.)); #30204=DIRECTION('',(0.,0.,-1.)); #30205=DIRECTION('center_axis',(0.,-1.,0.)); #30206=DIRECTION('ref_axis',(0.,0.,-1.)); #30207=DIRECTION('center_axis',(1.,1.2484752289227E-16,0.)); #30208=DIRECTION('ref_axis',(-1.2484752289227E-16,1.,0.)); #30209=DIRECTION('',(1.2484752289227E-16,-1.,0.)); #30210=DIRECTION('',(0.,0.,-1.)); #30211=DIRECTION('',(0.,0.,-1.)); #30212=DIRECTION('center_axis',(0.,1.,0.)); #30213=DIRECTION('ref_axis',(0.,0.,1.)); #30214=DIRECTION('',(1.,0.,0.)); #30215=DIRECTION('',(0.,0.,-1.)); #30216=DIRECTION('center_axis',(-1.,-2.10269512239613E-16,0.)); #30217=DIRECTION('ref_axis',(2.10269512239613E-16,-1.,0.)); #30218=DIRECTION('',(-2.10269512239613E-16,1.,0.)); #30219=DIRECTION('',(0.,0.,-1.)); #30220=DIRECTION('center_axis',(0.,-1.,0.)); #30221=DIRECTION('ref_axis',(0.,0.,-1.)); #30222=DIRECTION('',(-1.,0.,0.)); #30223=DIRECTION('center_axis',(0.,0.,-1.)); #30224=DIRECTION('ref_axis',(-1.,0.,0.)); #30225=DIRECTION('center_axis',(1.,0.,0.)); #30226=DIRECTION('ref_axis',(0.,0.,-1.)); #30227=DIRECTION('center_axis',(0.,0.,-1.)); #30228=DIRECTION('ref_axis',(-1.,0.,0.)); #30229=DIRECTION('center_axis',(0.,0.,-1.)); #30230=DIRECTION('ref_axis',(-1.,0.,0.)); #30231=DIRECTION('',(0.,0.,1.)); #30232=DIRECTION('center_axis',(0.,0.,-1.)); #30233=DIRECTION('ref_axis',(-1.,0.,0.)); #30234=DIRECTION('',(0.,0.,-1.)); #30235=DIRECTION('center_axis',(-1.,0.,0.)); #30236=DIRECTION('ref_axis',(0.,0.,1.)); #30237=DIRECTION('',(0.,1.,0.)); #30238=DIRECTION('',(0.,1.,0.)); #30239=DIRECTION('',(0.,0.,-1.)); #30240=DIRECTION('center_axis',(-1.,0.,0.)); #30241=DIRECTION('ref_axis',(0.,0.,1.)); #30242=DIRECTION('',(0.,1.,0.)); #30243=DIRECTION('',(0.,1.,0.)); #30244=DIRECTION('',(0.,0.,-1.)); #30245=DIRECTION('center_axis',(0.,-1.,0.)); #30246=DIRECTION('ref_axis',(0.,0.,-1.)); #30247=DIRECTION('',(-1.,0.,0.)); #30248=DIRECTION('',(0.,0.,-1.)); #30249=DIRECTION('',(-1.,0.,0.)); #30250=DIRECTION('center_axis',(1.,0.,0.)); #30251=DIRECTION('ref_axis',(0.,0.,-1.)); #30252=DIRECTION('',(0.,-1.,0.)); #30253=DIRECTION('',(0.,0.,-1.)); #30254=DIRECTION('',(0.,-1.,0.)); #30255=DIRECTION('center_axis',(0.,1.,0.)); #30256=DIRECTION('ref_axis',(0.,0.,1.)); #30257=DIRECTION('',(1.,0.,0.)); #30258=DIRECTION('',(1.,0.,0.)); #30259=DIRECTION('center_axis',(0.,0.,-1.)); #30260=DIRECTION('ref_axis',(-1.,0.,0.)); #30261=DIRECTION('center_axis',(0.,0.,-1.)); #30262=DIRECTION('ref_axis',(-1.,0.,0.)); #30263=DIRECTION('center_axis',(0.,0.,-1.)); #30264=DIRECTION('ref_axis',(-1.,0.,0.)); #30265=DIRECTION('center_axis',(0.,0.,-1.)); #30266=DIRECTION('ref_axis',(-1.,0.,0.)); #30267=DIRECTION('',(0.,0.,1.)); #30268=DIRECTION('center_axis',(0.,0.,-1.)); #30269=DIRECTION('ref_axis',(-1.,0.,0.)); #30270=DIRECTION('',(0.,0.,-1.)); #30271=DIRECTION('center_axis',(-1.,0.,0.)); #30272=DIRECTION('ref_axis',(0.,0.,1.)); #30273=DIRECTION('',(0.,1.,0.)); #30274=DIRECTION('',(0.,1.,0.)); #30275=DIRECTION('',(0.,0.,-1.)); #30276=DIRECTION('center_axis',(-1.,0.,0.)); #30277=DIRECTION('ref_axis',(0.,0.,1.)); #30278=DIRECTION('',(0.,1.,0.)); #30279=DIRECTION('',(0.,1.,0.)); #30280=DIRECTION('',(0.,0.,-1.)); #30281=DIRECTION('center_axis',(0.,-1.,0.)); #30282=DIRECTION('ref_axis',(0.,0.,-1.)); #30283=DIRECTION('',(-1.,0.,0.)); #30284=DIRECTION('',(0.,0.,-1.)); #30285=DIRECTION('',(-1.,0.,0.)); #30286=DIRECTION('center_axis',(1.,0.,0.)); #30287=DIRECTION('ref_axis',(0.,0.,-1.)); #30288=DIRECTION('',(0.,-1.,0.)); #30289=DIRECTION('',(0.,0.,-1.)); #30290=DIRECTION('',(0.,-1.,0.)); #30291=DIRECTION('center_axis',(0.,1.,0.)); #30292=DIRECTION('ref_axis',(0.,0.,1.)); #30293=DIRECTION('',(1.,0.,0.)); #30294=DIRECTION('',(1.,0.,0.)); #30295=DIRECTION('center_axis',(0.,0.,-1.)); #30296=DIRECTION('ref_axis',(-1.,0.,0.)); #30297=DIRECTION('center_axis',(0.,0.,-1.)); #30298=DIRECTION('ref_axis',(-1.,0.,0.)); #30299=DIRECTION('center_axis',(0.,0.,-1.)); #30300=DIRECTION('ref_axis',(-1.,0.,0.)); #30301=DIRECTION('center_axis',(0.,0.,-1.)); #30302=DIRECTION('ref_axis',(-1.,0.,0.)); #30303=DIRECTION('',(0.,0.,1.)); #30304=DIRECTION('center_axis',(0.,0.,-1.)); #30305=DIRECTION('ref_axis',(-1.,0.,0.)); #30306=DIRECTION('',(0.,0.,-1.)); #30307=DIRECTION('center_axis',(-1.,0.,0.)); #30308=DIRECTION('ref_axis',(0.,0.,1.)); #30309=DIRECTION('',(0.,1.,0.)); #30310=DIRECTION('',(0.,1.,0.)); #30311=DIRECTION('',(0.,0.,-1.)); #30312=DIRECTION('center_axis',(-1.,0.,0.)); #30313=DIRECTION('ref_axis',(0.,0.,1.)); #30314=DIRECTION('',(0.,1.,0.)); #30315=DIRECTION('',(0.,1.,0.)); #30316=DIRECTION('',(0.,0.,-1.)); #30317=DIRECTION('center_axis',(0.,-1.,0.)); #30318=DIRECTION('ref_axis',(0.,0.,-1.)); #30319=DIRECTION('',(-1.,0.,0.)); #30320=DIRECTION('',(0.,0.,-1.)); #30321=DIRECTION('',(-1.,0.,0.)); #30322=DIRECTION('center_axis',(1.,0.,0.)); #30323=DIRECTION('ref_axis',(0.,0.,-1.)); #30324=DIRECTION('',(0.,-1.,0.)); #30325=DIRECTION('',(0.,0.,-1.)); #30326=DIRECTION('',(0.,-1.,0.)); #30327=DIRECTION('center_axis',(0.,1.,0.)); #30328=DIRECTION('ref_axis',(0.,0.,1.)); #30329=DIRECTION('',(1.,0.,0.)); #30330=DIRECTION('',(1.,0.,0.)); #30331=DIRECTION('center_axis',(0.,0.,-1.)); #30332=DIRECTION('ref_axis',(-1.,0.,0.)); #30333=DIRECTION('center_axis',(0.,0.,-1.)); #30334=DIRECTION('ref_axis',(-1.,0.,0.)); #30335=DIRECTION('center_axis',(0.,0.,-1.)); #30336=DIRECTION('ref_axis',(-1.,0.,0.)); #30337=DIRECTION('center_axis',(0.,0.,-1.)); #30338=DIRECTION('ref_axis',(-1.,0.,0.)); #30339=DIRECTION('',(0.,0.,1.)); #30340=DIRECTION('center_axis',(0.,0.,-1.)); #30341=DIRECTION('ref_axis',(-1.,0.,0.)); #30342=DIRECTION('',(0.,0.,-1.)); #30343=DIRECTION('center_axis',(-1.,0.,0.)); #30344=DIRECTION('ref_axis',(0.,0.,1.)); #30345=DIRECTION('',(0.,1.,0.)); #30346=DIRECTION('',(0.,1.,0.)); #30347=DIRECTION('',(0.,0.,-1.)); #30348=DIRECTION('center_axis',(-1.,0.,0.)); #30349=DIRECTION('ref_axis',(0.,0.,1.)); #30350=DIRECTION('',(0.,1.,0.)); #30351=DIRECTION('',(0.,1.,0.)); #30352=DIRECTION('',(0.,0.,-1.)); #30353=DIRECTION('center_axis',(0.,-1.,0.)); #30354=DIRECTION('ref_axis',(0.,0.,-1.)); #30355=DIRECTION('',(-1.,0.,0.)); #30356=DIRECTION('',(0.,0.,-1.)); #30357=DIRECTION('',(-1.,0.,0.)); #30358=DIRECTION('center_axis',(1.,0.,0.)); #30359=DIRECTION('ref_axis',(0.,0.,-1.)); #30360=DIRECTION('',(0.,-1.,0.)); #30361=DIRECTION('',(0.,0.,-1.)); #30362=DIRECTION('',(0.,-1.,0.)); #30363=DIRECTION('center_axis',(0.,1.,0.)); #30364=DIRECTION('ref_axis',(0.,0.,1.)); #30365=DIRECTION('',(1.,0.,0.)); #30366=DIRECTION('',(1.,0.,0.)); #30367=DIRECTION('center_axis',(0.,0.,-1.)); #30368=DIRECTION('ref_axis',(-1.,0.,0.)); #30369=DIRECTION('center_axis',(0.,0.,-1.)); #30370=DIRECTION('ref_axis',(-1.,0.,0.)); #30371=DIRECTION('center_axis',(0.,0.,-1.)); #30372=DIRECTION('ref_axis',(-1.,0.,0.)); #30373=DIRECTION('center_axis',(0.,0.,1.)); #30374=DIRECTION('ref_axis',(1.,0.,0.)); #30375=DIRECTION('',(0.,0.,1.)); #30376=DIRECTION('center_axis',(0.,0.,1.)); #30377=DIRECTION('ref_axis',(1.,0.,0.)); #30378=DIRECTION('',(0.,0.,-1.)); #30379=DIRECTION('center_axis',(-1.,0.,0.)); #30380=DIRECTION('ref_axis',(0.,0.,1.)); #30381=DIRECTION('',(0.,1.,0.)); #30382=DIRECTION('',(0.,1.,0.)); #30383=DIRECTION('',(0.,0.,-1.)); #30384=DIRECTION('center_axis',(-1.,0.,0.)); #30385=DIRECTION('ref_axis',(0.,0.,1.)); #30386=DIRECTION('',(0.,1.,0.)); #30387=DIRECTION('',(0.,1.,0.)); #30388=DIRECTION('',(0.,0.,-1.)); #30389=DIRECTION('center_axis',(0.,-1.,0.)); #30390=DIRECTION('ref_axis',(0.,0.,-1.)); #30391=DIRECTION('',(-1.,0.,0.)); #30392=DIRECTION('',(0.,0.,-1.)); #30393=DIRECTION('',(-1.,0.,0.)); #30394=DIRECTION('center_axis',(1.,0.,0.)); #30395=DIRECTION('ref_axis',(0.,0.,-1.)); #30396=DIRECTION('',(0.,-1.,0.)); #30397=DIRECTION('',(0.,0.,-1.)); #30398=DIRECTION('',(0.,-1.,0.)); #30399=DIRECTION('center_axis',(0.,1.,0.)); #30400=DIRECTION('ref_axis',(0.,0.,1.)); #30401=DIRECTION('',(1.,0.,0.)); #30402=DIRECTION('',(1.,0.,0.)); #30403=DIRECTION('center_axis',(0.,0.,1.)); #30404=DIRECTION('ref_axis',(1.,0.,0.)); #30405=DIRECTION('center_axis',(0.,0.,1.)); #30406=DIRECTION('ref_axis',(1.,0.,0.)); #30407=DIRECTION('center_axis',(0.,0.,-1.)); #30408=DIRECTION('ref_axis',(-1.,0.,0.)); #30409=DIRECTION('center_axis',(0.,0.,1.)); #30410=DIRECTION('ref_axis',(1.,0.,0.)); #30411=DIRECTION('',(0.,0.,1.)); #30412=DIRECTION('center_axis',(0.,0.,1.)); #30413=DIRECTION('ref_axis',(1.,0.,0.)); #30414=DIRECTION('',(0.,0.,-1.)); #30415=DIRECTION('center_axis',(-1.,0.,0.)); #30416=DIRECTION('ref_axis',(0.,0.,1.)); #30417=DIRECTION('',(0.,1.,0.)); #30418=DIRECTION('',(0.,1.,0.)); #30419=DIRECTION('',(0.,0.,-1.)); #30420=DIRECTION('center_axis',(-1.,0.,0.)); #30421=DIRECTION('ref_axis',(0.,0.,1.)); #30422=DIRECTION('',(0.,1.,0.)); #30423=DIRECTION('',(0.,1.,0.)); #30424=DIRECTION('',(0.,0.,-1.)); #30425=DIRECTION('center_axis',(0.,-1.,0.)); #30426=DIRECTION('ref_axis',(0.,0.,-1.)); #30427=DIRECTION('',(-1.,0.,0.)); #30428=DIRECTION('',(0.,0.,-1.)); #30429=DIRECTION('',(-1.,0.,0.)); #30430=DIRECTION('center_axis',(1.,0.,0.)); #30431=DIRECTION('ref_axis',(0.,0.,-1.)); #30432=DIRECTION('',(0.,-1.,0.)); #30433=DIRECTION('',(0.,0.,-1.)); #30434=DIRECTION('',(0.,-1.,0.)); #30435=DIRECTION('center_axis',(4.95635278850517E-15,1.,0.)); #30436=DIRECTION('ref_axis',(-1.,4.95635278850517E-15,0.)); #30437=DIRECTION('',(1.,-4.95635278850517E-15,0.)); #30438=DIRECTION('',(1.,-4.95635278850517E-15,0.)); #30439=DIRECTION('center_axis',(0.,0.,1.)); #30440=DIRECTION('ref_axis',(1.,0.,0.)); #30441=DIRECTION('center_axis',(0.,0.,1.)); #30442=DIRECTION('ref_axis',(1.,0.,0.)); #30443=DIRECTION('center_axis',(0.,0.,-1.)); #30444=DIRECTION('ref_axis',(-1.,0.,0.)); #30445=DIRECTION('center_axis',(0.,0.,-1.)); #30446=DIRECTION('ref_axis',(-1.,0.,0.)); #30447=DIRECTION('',(0.,0.,1.)); #30448=DIRECTION('center_axis',(0.,0.,-1.)); #30449=DIRECTION('ref_axis',(-1.,0.,0.)); #30450=DIRECTION('',(0.,0.,-1.)); #30451=DIRECTION('center_axis',(-1.,0.,0.)); #30452=DIRECTION('ref_axis',(0.,0.,1.)); #30453=DIRECTION('',(0.,1.,0.)); #30454=DIRECTION('',(0.,1.,0.)); #30455=DIRECTION('',(0.,0.,-1.)); #30456=DIRECTION('center_axis',(-1.,0.,0.)); #30457=DIRECTION('ref_axis',(0.,0.,1.)); #30458=DIRECTION('',(0.,1.,0.)); #30459=DIRECTION('',(0.,1.,0.)); #30460=DIRECTION('',(0.,0.,-1.)); #30461=DIRECTION('center_axis',(0.,-1.,0.)); #30462=DIRECTION('ref_axis',(0.,0.,-1.)); #30463=DIRECTION('',(-1.,0.,0.)); #30464=DIRECTION('',(0.,0.,-1.)); #30465=DIRECTION('',(-1.,0.,0.)); #30466=DIRECTION('center_axis',(1.,0.,0.)); #30467=DIRECTION('ref_axis',(0.,0.,-1.)); #30468=DIRECTION('',(0.,-1.,0.)); #30469=DIRECTION('',(0.,0.,-1.)); #30470=DIRECTION('',(0.,-1.,0.)); #30471=DIRECTION('center_axis',(0.,1.,0.)); #30472=DIRECTION('ref_axis',(0.,0.,1.)); #30473=DIRECTION('',(1.,0.,0.)); #30474=DIRECTION('',(1.,0.,0.)); #30475=DIRECTION('center_axis',(0.,0.,-1.)); #30476=DIRECTION('ref_axis',(-1.,0.,0.)); #30477=DIRECTION('center_axis',(0.,0.,-1.)); #30478=DIRECTION('ref_axis',(-1.,0.,0.)); #30479=DIRECTION('center_axis',(0.,0.,-1.)); #30480=DIRECTION('ref_axis',(-1.,0.,0.)); #30481=DIRECTION('center_axis',(0.,0.,-1.)); #30482=DIRECTION('ref_axis',(-1.,0.,0.)); #30483=DIRECTION('',(0.,0.,1.)); #30484=DIRECTION('center_axis',(0.,0.,-1.)); #30485=DIRECTION('ref_axis',(-1.,0.,0.)); #30486=DIRECTION('',(0.,0.,-1.)); #30487=DIRECTION('center_axis',(-1.,0.,0.)); #30488=DIRECTION('ref_axis',(0.,0.,1.)); #30489=DIRECTION('',(0.,1.,0.)); #30490=DIRECTION('',(0.,1.,0.)); #30491=DIRECTION('',(0.,0.,-1.)); #30492=DIRECTION('center_axis',(-1.,0.,0.)); #30493=DIRECTION('ref_axis',(0.,0.,1.)); #30494=DIRECTION('',(0.,1.,0.)); #30495=DIRECTION('',(0.,1.,0.)); #30496=DIRECTION('',(0.,0.,-1.)); #30497=DIRECTION('center_axis',(0.,-1.,0.)); #30498=DIRECTION('ref_axis',(0.,0.,-1.)); #30499=DIRECTION('',(-1.,0.,0.)); #30500=DIRECTION('',(0.,0.,-1.)); #30501=DIRECTION('',(-1.,0.,0.)); #30502=DIRECTION('center_axis',(1.,0.,0.)); #30503=DIRECTION('ref_axis',(0.,0.,-1.)); #30504=DIRECTION('',(0.,-1.,0.)); #30505=DIRECTION('',(0.,0.,-1.)); #30506=DIRECTION('',(0.,-1.,0.)); #30507=DIRECTION('center_axis',(0.,1.,0.)); #30508=DIRECTION('ref_axis',(0.,0.,1.)); #30509=DIRECTION('',(1.,0.,0.)); #30510=DIRECTION('',(1.,0.,0.)); #30511=DIRECTION('center_axis',(0.,0.,-1.)); #30512=DIRECTION('ref_axis',(-1.,0.,0.)); #30513=DIRECTION('center_axis',(0.,0.,-1.)); #30514=DIRECTION('ref_axis',(-1.,0.,0.)); #30515=DIRECTION('axis',(0.,0.,1.)); #30516=DIRECTION('refdir',(1.,0.,0.)); #30517=DIRECTION('center_axis',(-0.000448200917007313,0.999999899557964, 5.63785073064736E-18)); #30518=DIRECTION('ref_axis',(-0.999999899557964,-0.000448200917007313,4.05399363177777E-22)); #30519=DIRECTION('',(-0.999999899557964,-0.000448200917007313,0.)); #30520=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30521=DIRECTION('',(-0.999999899557964,-0.000448200917007313,4.05399363177777E-22)); #30522=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30523=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30524=DIRECTION('ref_axis',(0.99148507601316,-0.13022036723638,-7.34163066309624E-19)); #30525=DIRECTION('center_axis',(0.,0.,-1.)); #30526=DIRECTION('ref_axis',(0.99148507601316,-0.13022036723638,-7.34163066309624E-19)); #30527=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30528=DIRECTION('center_axis',(-2.08481417102856E-19,2.73816796176694E-20, -1.)); #30529=DIRECTION('ref_axis',(0.99148507601316,-0.13022036723638,-2.10271866058918E-19)); #30530=DIRECTION('center_axis',(-0.999720538314538,0.023639908461966,1.33278288581473E-19)); #30531=DIRECTION('ref_axis',(-0.023639908461966,-0.999720538314538,-5.86510985212708E-19)); #30532=DIRECTION('',(-0.023639908461966,-0.999720538314538,0.)); #30533=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30534=DIRECTION('',(-0.023639908461966,-0.999720538314538,-5.86510985212708E-19)); #30535=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30536=DIRECTION('ref_axis',(0.999988871438797,0.00471773235365085,2.65978734685748E-20)); #30537=DIRECTION('center_axis',(0.,0.,-1.)); #30538=DIRECTION('ref_axis',(0.999988871438797,0.00471773235365085,2.65978734685748E-20)); #30539=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30540=DIRECTION('center_axis',(-4.06272589992357E-20,-1.91670667240862E-22, -1.)); #30541=DIRECTION('ref_axis',(0.999988871438797,0.00471773235365085,-4.06277111272055E-20)); #30542=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30543=DIRECTION('ref_axis',(0.0287399477751042,0.999586922384384,5.63552242675369E-18)); #30544=DIRECTION('center_axis',(0.,0.,-1.)); #30545=DIRECTION('ref_axis',(0.0287399477751042,0.999586922384384,5.63552242675369E-18)); #30546=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30547=DIRECTION('center_axis',(-1.24279639011314E-19,-4.32249574169282E-18, -1.)); #30548=DIRECTION('ref_axis',(0.0287399477751042,0.999586922384384,-4.32428200579303E-18)); #30549=DIRECTION('center_axis',(-1.96922290159145E-15,-1.,-5.63785129692462E-18)); #30550=DIRECTION('ref_axis',(1.,-1.96922290159145E-15,-1.92425536273665E-19)); #30551=DIRECTION('',(1.,-1.96922290159145E-15,0.)); #30552=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30553=DIRECTION('',(1.,-1.96922290159145E-15,-1.92425536273665E-19)); #30554=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30555=DIRECTION('ref_axis',(-0.999155408280487,0.0410909978444515,2.31664935489267E-19)); #30556=DIRECTION('center_axis',(0.,0.,-1.)); #30557=DIRECTION('ref_axis',(-0.999155408280487,0.0410909978444515,2.31664935489267E-19)); #30558=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30559=DIRECTION('center_axis',(-1.12242649668841E-19,4.6160611626307E-21, -1.)); #30560=DIRECTION('ref_axis',(-0.999155408280487,0.0410909978444515,1.12337529015638E-19)); #30561=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30562=DIRECTION('ref_axis',(-0.999989183773003,-0.00465105762201176,-2.62219712463312E-20)); #30563=DIRECTION('center_axis',(0.,0.,-1.)); #30564=DIRECTION('ref_axis',(-0.999989183773003,-0.00465105762201176,-2.62219712463312E-20)); #30565=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30566=DIRECTION('center_axis',(-6.21908824521861E-19,-2.89256506513114E-21, -1.)); #30567=DIRECTION('ref_axis',(-0.999989183773003,-0.00465105762201176,6.21915551301637E-19)); #30568=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30569=DIRECTION('ref_axis',(-0.760665893826587,-0.649143588098195,-3.65977502004972E-18)); #30570=DIRECTION('center_axis',(0.,0.,-1.)); #30571=DIRECTION('ref_axis',(-0.760665893826587,-0.649143588098195,-3.65977502004972E-18)); #30572=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30573=DIRECTION('center_axis',(-2.45369143923153E-18,-2.09395225666802E-18, -1.)); #30574=DIRECTION('ref_axis',(-0.760665893826587,-0.649143588098195,3.22571507299749E-18)); #30575=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30576=DIRECTION('ref_axis',(-0.0134463707002097,-0.999909593470826,-5.63734159835687E-18)); #30577=DIRECTION('center_axis',(0.,0.,-1.)); #30578=DIRECTION('ref_axis',(-0.0134463707002097,-0.999909593470826,-5.63734159835687E-18)); #30579=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30580=DIRECTION('center_axis',(-3.32539600741711E-20,-2.47285713300622E-18, -1.)); #30581=DIRECTION('ref_axis',(-0.0134463707002097,-0.999909593470826,2.47308071565009E-18)); #30582=DIRECTION('center_axis',(2.84544608015559E-16,1.,5.63785129692462E-18)); #30583=DIRECTION('ref_axis',(-1.,2.84544608015559E-16,1.30564370025824E-19)); #30584=DIRECTION('',(-1.,2.84544608015559E-16,0.)); #30585=DIRECTION('',(-1.,2.84544608015559E-16,1.30564370025824E-19)); #30586=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30587=DIRECTION('ref_axis',(0.999299262704691,0.0374297149048733,2.1102316671996E-19)); #30588=DIRECTION('center_axis',(0.,0.,1.)); #30589=DIRECTION('ref_axis',(0.999299262704691,0.0374297149048733,2.1102316671996E-19)); #30590=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30591=DIRECTION('center_axis',(-1.62211765320665E-19,4.33073289227384E-18, 1.)); #30592=DIRECTION('ref_axis',(0.999299262704691,0.0374297149048733,0.)); #30593=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30594=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30595=DIRECTION('ref_axis',(0.999989185975317,-0.00465058409472895,-2.62193015699236E-20)); #30596=DIRECTION('center_axis',(0.,0.,1.)); #30597=DIRECTION('ref_axis',(0.999989185975317,-0.00465058409472895,-2.62193015699236E-20)); #30598=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30599=DIRECTION('center_axis',(2.01684754105772E-20,4.33671489373674E-18, 1.)); #30600=DIRECTION('ref_axis',(0.999989185975317,-0.00465058409472895,4.04051974661858E-36)); #30601=DIRECTION('center_axis',(0.999720538314567,-0.0236399084607296,-1.33278288574503E-19)); #30602=DIRECTION('ref_axis',(0.0236399084607296,0.999720538314567,-1.54074395550979E-33)); #30603=DIRECTION('',(0.0236399084607296,0.999720538314567,0.)); #30604=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30605=DIRECTION('',(0.0236399084607296,0.999720538314567,-1.54074395550979E-33)); #30606=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30607=DIRECTION('ref_axis',(0.0492806302197699,-0.998784971595559,-5.63100114745885E-18)); #30608=DIRECTION('center_axis',(0.,0.,1.)); #30609=DIRECTION('ref_axis',(0.0492806302197699,-0.998784971595559,-5.63100114745885E-18)); #30610=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30611=DIRECTION('center_axis',(2.13460988703861E-19,1.05322890810607E-20, 1.)); #30612=DIRECTION('ref_axis',(0.0492806302197699,-0.998784971595559,1.91960712309671E-33)); #30613=DIRECTION('center_axis',(0.000448200917006968,-0.999999899557964, -5.63785073064736E-18)); #30614=DIRECTION('ref_axis',(0.999999899557964,0.000448200917006968,-7.52316384526264E-37)); #30615=DIRECTION('',(0.999999899557964,0.000448200917006968,0.)); #30616=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30617=DIRECTION('',(0.999999899557964,0.000448200917006968,-7.52316384526264E-37)); #30618=DIRECTION('center_axis',(-4.93038065763132E-32,-1.,-5.63785129692462E-18)); #30619=DIRECTION('ref_axis',(1.,-4.93038065763132E-32,-1.71056941445901E-49)); #30620=DIRECTION('',(1.,-4.93038065763132E-32,0.)); #30621=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30622=DIRECTION('',(1.,-4.93038065763132E-32,-1.71056941445901E-49)); #30623=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30624=DIRECTION('ref_axis',(-0.759571483479287,-0.650423832193344,-3.66699284588193E-18)); #30625=DIRECTION('center_axis',(0.,0.,1.)); #30626=DIRECTION('ref_axis',(-0.759571483479287,-0.650423832193344,-3.66699284588193E-18)); #30627=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30628=DIRECTION('center_axis',(-2.14257168911882E-18,2.50211673652348E-18, 1.)); #30629=DIRECTION('ref_axis',(-0.759571483479287,-0.650423832193344,4.70216004898613E-34)); #30630=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30631=DIRECTION('ref_axis',(-0.989651063878466,0.143494849260246,8.09002622003883E-19)); #30632=DIRECTION('center_axis',(0.,0.,1.)); #30633=DIRECTION('ref_axis',(-0.989651063878466,0.143494849260246,8.09002622003883E-19)); #30634=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30635=DIRECTION('center_axis',(6.15869465805085E-19,4.24751045202233E-18, 1.)); #30636=DIRECTION('ref_axis',(-0.989651063878466,0.143494849260246,-2.35120923888654E-34)); #30637=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30638=DIRECTION('ref_axis',(-0.99998889943699,0.00471179401045107,2.65643939726621E-20)); #30639=DIRECTION('center_axis',(0.,0.,1.)); #30640=DIRECTION('ref_axis',(-0.99998889943699,0.00471179401045107,2.65643939726621E-20)); #30641=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30642=DIRECTION('center_axis',(6.01764500720897E-19,4.33397326809705E-18, 1.)); #30643=DIRECTION('ref_axis',(-0.99998889943699,0.00471179401045107,5.81337031510065E-19)); #30644=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30645=DIRECTION('ref_axis',(-0.0259616364215551,0.999662939912406,5.63595100227264E-18)); #30646=DIRECTION('center_axis',(0.,0.,1.)); #30647=DIRECTION('ref_axis',(-0.0259616364215551,0.999662939912406,5.63595100227264E-18)); #30648=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30649=DIRECTION('center_axis',(1.12552700623617E-19,2.923037531142E-21, 1.)); #30650=DIRECTION('ref_axis',(-0.0259616364215551,0.999662939912406,-1.92097209596247E-33)); #30651=DIRECTION('center_axis',(1.96862757635073E-15,1.,5.63785129692462E-18)); #30652=DIRECTION('ref_axis',(-1.,1.96862757635073E-15,-2.73691106313441E-48)); #30653=DIRECTION('',(-1.,1.96862757635073E-15,0.)); #30654=DIRECTION('',(-1.,1.96862757635073E-15,-2.73691106313441E-48)); #30655=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #30656=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #30657=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30658=DIRECTION('ref_axis',(0.993385001905798,0.114831345845187,6.47402052100887E-19)); #30659=DIRECTION('center_axis',(0.,0.,1.)); #30660=DIRECTION('ref_axis',(0.993385001905798,0.114831345845187,6.47402052100887E-19)); #30661=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30662=DIRECTION('center_axis',(-4.94707299046197E-19,4.27962249844534E-18, 1.)); #30663=DIRECTION('ref_axis',(0.993385001905798,0.114831345845187,-1.96702652123544E-34)); #30664=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30665=DIRECTION('center_axis',(0.857662176994673,-0.514213564730413,-2.89905961281159E-18)); #30666=DIRECTION('ref_axis',(0.514213564730413,0.857662176994673,-1.54074395550979E-33)); #30667=DIRECTION('',(0.514213564730413,0.857662176994673,0.)); #30668=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30669=DIRECTION('',(0.514213564730413,0.857662176994673,-1.54074395550979E-33)); #30670=DIRECTION('center_axis',(0.786659776195255,-0.617386747927449,-3.48073467750685E-18)); #30671=DIRECTION('ref_axis',(0.617386747927449,0.786659776195255,-1.54074395550979E-33)); #30672=DIRECTION('',(0.617386747927449,0.786659776195255,0.)); #30673=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30674=DIRECTION('',(0.617386747927449,0.786659776195255,-1.54074395550979E-33)); #30675=DIRECTION('center_axis',(0.700536209351278,-0.713616857555749,-4.02326572587795E-18)); #30676=DIRECTION('ref_axis',(0.713616857555749,0.700536209351278,-1.54074395550979E-33)); #30677=DIRECTION('',(0.713616857555749,0.700536209351278,0.)); #30678=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30679=DIRECTION('',(0.713616857555749,0.700536209351278,-1.54074395550979E-33)); #30680=DIRECTION('center_axis',(0.602836350373803,-0.797864859902975,-4.49824343517457E-18)); #30681=DIRECTION('ref_axis',(0.797864859902975,0.602836350373803,-1.15555796663234E-33)); #30682=DIRECTION('',(0.797864859902975,0.602836350373803,0.)); #30683=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30684=DIRECTION('',(0.797864859902975,0.602836350373803,-1.15555796663234E-33)); #30685=DIRECTION('center_axis',(0.499281469696359,-0.866439850201873,-4.8848590331678E-18)); #30686=DIRECTION('ref_axis',(0.866439850201873,0.499281469696359,-7.70371977754894E-34)); #30687=DIRECTION('',(0.866439850201873,0.499281469696359,0.)); #30688=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30689=DIRECTION('',(0.866439850201873,0.499281469696359,-7.70371977754894E-34)); #30690=DIRECTION('center_axis',(0.394991264374972,-0.918684875823838,-5.17940871862846E-18)); #30691=DIRECTION('ref_axis',(0.918684875823838,0.394991264374972,-7.70371977754894E-34)); #30692=DIRECTION('',(0.918684875823838,0.394991264374972,0.)); #30693=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30694=DIRECTION('',(0.918684875823838,0.394991264374972,-7.70371977754894E-34)); #30695=DIRECTION('center_axis',(0.2949802919731,-0.955503337172333,-5.38698572869284E-18)); #30696=DIRECTION('ref_axis',(0.955503337172333,0.2949802919731,-5.77778983316171E-34)); #30697=DIRECTION('',(0.955503337172333,0.2949802919731,0.)); #30698=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30699=DIRECTION('',(0.955503337172333,0.2949802919731,-5.77778983316171E-34)); #30700=DIRECTION('center_axis',(0.201959898162336,-0.979393791860179,-5.52167655963883E-18)); #30701=DIRECTION('ref_axis',(0.979393791860179,0.201959898162336,-3.85185988877447E-34)); #30702=DIRECTION('',(0.979393791860179,0.201959898162336,0.)); #30703=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30704=DIRECTION('',(0.979393791860179,0.201959898162336,-3.85185988877447E-34)); #30705=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30706=DIRECTION('ref_axis',(0.129692151724679,-0.99155430803412,-5.59023574152136E-18)); #30707=DIRECTION('center_axis',(0.,0.,1.)); #30708=DIRECTION('ref_axis',(0.129692151724679,-0.99155430803412,-5.59023574152136E-18)); #30709=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30710=DIRECTION('center_axis',(5.57699770743161E-19,7.29453573021573E-20, 1.)); #30711=DIRECTION('ref_axis',(0.129692151724679,-0.99155430803412,2.04406314433291E-33)); #30712=DIRECTION('center_axis',(0.0313020831268251,-0.999509969731128,-5.63508857913773E-18)); #30713=DIRECTION('ref_axis',(0.999509969731129,0.0313020831268251,-4.81482486096809E-35)); #30714=DIRECTION('',(0.999509969731129,0.0313020831268251,0.)); #30715=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30716=DIRECTION('',(0.999509969731129,0.0313020831268251,-4.81482486096809E-35)); #30717=DIRECTION('center_axis',(0.0371603142681835,-0.999309317000242,-5.63395732887867E-18)); #30718=DIRECTION('ref_axis',(0.999309317000242,0.0371603142681835,-4.81482486096809E-35)); #30719=DIRECTION('',(0.999309317000242,0.0371603142681835,0.)); #30720=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30721=DIRECTION('',(0.999309317000242,0.0371603142681835,-4.81482486096809E-35)); #30722=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30723=DIRECTION('ref_axis',(0.256664957545171,-0.966500439507575,-5.448985756356E-18)); #30724=DIRECTION('center_axis',(0.,0.,1.)); #30725=DIRECTION('ref_axis',(0.256664957545171,-0.966500439507575,-5.448985756356E-18)); #30726=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30727=DIRECTION('center_axis',(1.0758182290918E-18,2.85695514258486E-19, 1.)); #30728=DIRECTION('ref_axis',(0.256664957545171,-0.966500439507575,1.49328832829283E-33)); #30729=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30730=DIRECTION('ref_axis',(-0.874977122046702,-0.484164265404699,-2.72964613163644E-18)); #30731=DIRECTION('center_axis',(0.,0.,1.)); #30732=DIRECTION('ref_axis',(-0.874977122046702,-0.484164265404699,-2.72964613163644E-18)); #30733=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30734=DIRECTION('center_axis',(-1.83721378189628E-18,3.32019552522007E-18, 1.)); #30735=DIRECTION('ref_axis',(-0.874977122046702,-0.484164265404699,5.68399135895517E-34)); #30736=DIRECTION('center_axis',(-1.,4.93038065763132E-32,-1.09537265587024E-33)); #30737=DIRECTION('ref_axis',(-4.93038065763132E-32,-1.,1.54074395550979E-33)); #30738=DIRECTION('',(-4.93038065763132E-32,-1.,0.)); #30739=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30740=DIRECTION('',(-4.93038065763132E-32,-1.,1.54074395550979E-33)); #30741=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30742=DIRECTION('ref_axis',(-0.99692143091087,-0.0784070187586711,-4.42047112396568E-19)); #30743=DIRECTION('center_axis',(0.,0.,1.)); #30744=DIRECTION('ref_axis',(-0.99692143091087,-0.0784070187586711,-4.42047112396568E-19)); #30745=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30746=DIRECTION('center_axis',(-3.38989415246465E-19,4.31014746206979E-18, 1.)); #30747=DIRECTION('ref_axis',(-0.99692143091087,-0.0784070187586711,2.18512654448166E-34)); #30748=DIRECTION('center_axis',(-0.908794818735926,0.41724330724259,2.35235572087075E-18)); #30749=DIRECTION('ref_axis',(-0.41724330724259,-0.908794818735926,1.54074395550979E-33)); #30750=DIRECTION('',(-0.41724330724259,-0.908794818735926,0.)); #30751=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30752=DIRECTION('',(-0.41724330724259,-0.908794818735926,1.54074395550979E-33)); #30753=DIRECTION('center_axis',(-0.855090596253095,0.518478613058944,2.92310532106205E-18)); #30754=DIRECTION('ref_axis',(-0.518478613058944,-0.855090596253095,1.54074395550979E-33)); #30755=DIRECTION('',(-0.518478613058944,-0.855090596253095,0.)); #30756=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30757=DIRECTION('',(-0.518478613058944,-0.855090596253095,1.54074395550979E-33)); #30758=DIRECTION('center_axis',(-0.785532323089702,0.618820627792332,3.48881867896271E-18)); #30759=DIRECTION('ref_axis',(-0.618820627792332,-0.785532323089702,1.54074395550979E-33)); #30760=DIRECTION('',(-0.618820627792332,-0.785532323089702,0.)); #30761=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30762=DIRECTION('',(-0.618820627792332,-0.785532323089702,1.54074395550979E-33)); #30763=DIRECTION('center_axis',(-0.702153278319275,0.71202582379117,4.01429571410487E-18)); #30764=DIRECTION('ref_axis',(-0.71202582379117,-0.702153278319275,1.54074395550979E-33)); #30765=DIRECTION('',(-0.71202582379117,-0.702153278319275,0.)); #30766=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30767=DIRECTION('',(-0.71202582379117,-0.702153278319275,1.54074395550979E-33)); #30768=DIRECTION('center_axis',(-0.610253160462581,0.792206463079816,4.46634223530661E-18)); #30769=DIRECTION('ref_axis',(-0.792206463079816,-0.61025316046258,1.15555796663234E-33)); #30770=DIRECTION('',(-0.792206463079816,-0.61025316046258,0.)); #30771=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30772=DIRECTION('',(-0.792206463079816,-0.61025316046258,1.15555796663234E-33)); #30773=DIRECTION('center_axis',(-0.515089423430998,0.857136445304668,4.83240781980228E-18)); #30774=DIRECTION('ref_axis',(-0.857136445304668,-0.515089423430998,1.15555796663234E-33)); #30775=DIRECTION('',(-0.857136445304668,-0.515089423430998,0.)); #30776=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30777=DIRECTION('',(-0.857136445304668,-0.515089423430998,1.15555796663234E-33)); #30778=DIRECTION('center_axis',(-0.423532682473319,0.905880823771513,5.10722137715937E-18)); #30779=DIRECTION('ref_axis',(-0.905880823771513,-0.423532682473319,7.70371977754894E-34)); #30780=DIRECTION('',(-0.905880823771513,-0.423532682473319,0.)); #30781=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30782=DIRECTION('',(-0.905880823771513,-0.423532682473319,7.70371977754895E-34)); #30783=DIRECTION('center_axis',(-0.339327795271648,0.940668191955103,5.30334738598982E-18)); #30784=DIRECTION('ref_axis',(-0.940668191955103,-0.339327795271648,7.70371977754894E-34)); #30785=DIRECTION('',(-0.940668191955103,-0.339327795271648,0.)); #30786=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30787=DIRECTION('',(-0.940668191955103,-0.339327795271648,7.70371977754894E-34)); #30788=DIRECTION('center_axis',(-0.265201786088691,0.964192933315407,5.43597637957782E-18)); #30789=DIRECTION('ref_axis',(-0.964192933315407,-0.265201786088691,3.85185988877447E-34)); #30790=DIRECTION('',(-0.964192933315407,-0.265201786088691,0.)); #30791=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30792=DIRECTION('',(-0.964192933315407,-0.265201786088691,3.85185988877447E-34)); #30793=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30794=DIRECTION('ref_axis',(-0.335651999770572,0.941986058840584,5.3107773235193E-18)); #30795=DIRECTION('center_axis',(0.,0.,1.)); #30796=DIRECTION('ref_axis',(-0.335651999770572,0.941986058840584,5.3107773235193E-18)); #30797=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30798=DIRECTION('center_axis',(1.37121002228881E-18,4.88594689663642E-19, 1.)); #30799=DIRECTION('ref_axis',(-0.335651999770572,0.941986058840584,-1.83120255928216E-33)); #30800=DIRECTION('center_axis',(0.776324244232231,0.630333774929803,3.55372809048338E-18)); #30801=DIRECTION('ref_axis',(-0.630333774929803,0.776324244232231,-1.54074395550979E-33)); #30802=DIRECTION('',(-0.630333774929803,0.776324244232231,0.)); #30803=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30804=DIRECTION('',(-0.630333774929803,0.776324244232231,-1.54074395550979E-33)); #30805=DIRECTION('center_axis',(0.843405659558875,0.537277296583486,3.02908950335136E-18)); #30806=DIRECTION('ref_axis',(-0.537277296583486,0.843405659558875,-1.54074395550979E-33)); #30807=DIRECTION('',(-0.537277296583486,0.843405659558875,0.)); #30808=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30809=DIRECTION('',(-0.537277296583486,0.843405659558875,-1.54074395550979E-33)); #30810=DIRECTION('center_axis',(0.905425447806203,0.424505310290622,2.39329781417337E-18)); #30811=DIRECTION('ref_axis',(-0.424505310290622,0.905425447806203,-1.54074395550979E-33)); #30812=DIRECTION('',(-0.424505310290622,0.905425447806203,0.)); #30813=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30814=DIRECTION('',(-0.424505310290622,0.905425447806203,-1.54074395550979E-33)); #30815=DIRECTION('center_axis',(0.957183908943237,0.289480507910543,1.63204805695786E-18)); #30816=DIRECTION('ref_axis',(-0.289480507910543,0.957183908943236,-2.31111593326468E-33)); #30817=DIRECTION('',(-0.289480507910543,0.957183908943236,0.)); #30818=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30819=DIRECTION('',(-0.289480507910543,0.957183908943236,-2.31111593326468E-33)); #30820=DIRECTION('center_axis',(0.991070684046969,0.133337538685372,7.51737215406063E-19)); #30821=DIRECTION('ref_axis',(-0.133337538685372,0.991070684046969,-1.54074395550979E-33)); #30822=DIRECTION('',(-0.133337538685372,0.991070684046969,0.)); #30823=DIRECTION('',(-0.133337538685372,0.991070684046969,-1.54074395550979E-33)); #30824=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #30825=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #30826=DIRECTION('center_axis',(-0.999010654475715,-0.0444714767463804, -2.50723572850735E-19)); #30827=DIRECTION('ref_axis',(0.0444714767463804,-0.999010654475715,1.54074395550979E-33)); #30828=DIRECTION('',(0.0444714767463804,-0.999010654475715,0.)); #30829=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30830=DIRECTION('',(0.0444714767463804,-0.999010654475715,1.54074395550979E-33)); #30831=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30832=DIRECTION('center_axis',(-0.999999998590416,5.3095838116379E-5,2.99346439784632E-22)); #30833=DIRECTION('ref_axis',(-5.3095838116379E-5,-0.999999998590416,1.54074395550979E-33)); #30834=DIRECTION('',(-5.3095838116379E-5,-0.999999998590416,0.)); #30835=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30836=DIRECTION('',(-5.3095838116379E-5,-0.999999998590416,1.54074395550979E-33)); #30837=DIRECTION('center_axis',(-0.989080092040114,0.147379006408375,8.3090092241892E-19)); #30838=DIRECTION('ref_axis',(-0.147379006408375,-0.989080092040114,1.54074395550979E-33)); #30839=DIRECTION('',(-0.147379006408375,-0.989080092040114,0.)); #30840=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30841=DIRECTION('',(-0.147379006408375,-0.989080092040114,1.54074395550979E-33)); #30842=DIRECTION('center_axis',(-0.927334605739039,0.374233254800826,2.10987144093116E-18)); #30843=DIRECTION('ref_axis',(-0.374233254800826,-0.927334605739039,1.54074395550979E-33)); #30844=DIRECTION('',(-0.374233254800826,-0.927334605739039,0.)); #30845=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30846=DIRECTION('',(-0.374233254800826,-0.927334605739039,1.54074395550979E-33)); #30847=DIRECTION('center_axis',(-0.800695751463957,0.599071209112547,3.37747439324537E-18)); #30848=DIRECTION('ref_axis',(-0.599071209112547,-0.800695751463957,1.54074395550979E-33)); #30849=DIRECTION('',(-0.599071209112547,-0.800695751463957,0.)); #30850=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30851=DIRECTION('',(-0.599071209112547,-0.800695751463957,1.54074395550979E-33)); #30852=DIRECTION('center_axis',(-0.630506879606549,0.776183660462402,4.37600805678965E-18)); #30853=DIRECTION('ref_axis',(-0.776183660462402,-0.630506879606549,1.54074395550979E-33)); #30854=DIRECTION('',(-0.776183660462402,-0.630506879606549,0.)); #30855=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30856=DIRECTION('',(-0.776183660462402,-0.630506879606549,1.54074395550979E-33)); #30857=DIRECTION('center_axis',(-0.458579584348572,0.888653343446527,5.0100954048664E-18)); #30858=DIRECTION('ref_axis',(-0.888653343446527,-0.458579584348572,1.15555796663234E-33)); #30859=DIRECTION('',(-0.888653343446527,-0.458579584348572,0.)); #30860=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30861=DIRECTION('',(-0.888653343446527,-0.458579584348572,1.15555796663234E-33)); #30862=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30863=DIRECTION('ref_axis',(-0.367501039279177,0.930023110534746,5.24333199989819E-18)); #30864=DIRECTION('center_axis',(0.,0.,1.)); #30865=DIRECTION('ref_axis',(-0.367501039279177,0.930023110534746,5.24333199989819E-18)); #30866=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30867=DIRECTION('center_axis',(1.4822538148064E-18,5.85716431394558E-19, 1.)); #30868=DIRECTION('ref_axis',(-0.367501039279177,0.930023110534746,-1.98993658703436E-33)); #30869=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30870=DIRECTION('ref_axis',(0.970693704189504,0.240320062930375,1.35488877846902E-18)); #30871=DIRECTION('center_axis',(0.,0.,1.)); #30872=DIRECTION('ref_axis',(0.970693704189504,0.240320062930375,1.35488877846902E-18)); #30873=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30874=DIRECTION('center_axis',(-1.01167846702838E-18,4.08634180032253E-18, 1.)); #30875=DIRECTION('ref_axis',(0.970693704189504,0.240320062930375,-8.0104481297719E-34)); #30876=DIRECTION('center_axis',(0.999999283866234,0.00119677358759645,6.74723152295688E-21)); #30877=DIRECTION('ref_axis',(-0.00119677358759645,0.999999283866234,-1.54074395550979E-33)); #30878=DIRECTION('',(-0.00119677358759645,0.999999283866234,0.)); #30879=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30880=DIRECTION('',(-0.00119677358759645,0.999999283866234,-1.54074395550979E-33)); #30881=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30882=DIRECTION('ref_axis',(-0.990093874773109,0.140406976809457,7.91593656302462E-19)); #30883=DIRECTION('center_axis',(0.,0.,-1.)); #30884=DIRECTION('ref_axis',(-0.990093874773109,0.140406976809457,7.91593656302462E-19)); #30885=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30886=DIRECTION('center_axis',(-6.02886177241784E-19,-4.25131232675512E-18, -1.)); #30887=DIRECTION('ref_axis',(-0.990093874773109,0.140406976809457,-2.46177892757119E-34)); #30888=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30889=DIRECTION('ref_axis',(-0.729436023357445,-0.684049039052375,-3.85656676198147E-18)); #30890=DIRECTION('center_axis',(0.,0.,-1.)); #30891=DIRECTION('ref_axis',(-0.729436023357445,-0.684049039052375,-3.85656676198147E-18)); #30892=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30893=DIRECTION('center_axis',(2.16393747897868E-18,-2.307515776423E-18, -1.)); #30894=DIRECTION('ref_axis',(-0.729436023357445,-0.684049039052375,9.84666024421625E-34)); #30895=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30896=DIRECTION('ref_axis',(-0.0546069625927267,-0.998507926676797,-5.6294392094043E-18)); #30897=DIRECTION('center_axis',(0.,0.,-1.)); #30898=DIRECTION('ref_axis',(-0.0546069625927267,-0.998507926676797,-5.6294392094043E-18)); #30899=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30900=DIRECTION('center_axis',(2.24149599897439E-18,3.66497508314689E-17, -1.)); #30901=DIRECTION('ref_axis',(-0.0546069625927267,-0.998507926676797,-3.6717468004119E-17)); #30902=DIRECTION('center_axis',(0.385933309762967,0.922526682766088,5.20106825488036E-18)); #30903=DIRECTION('ref_axis',(-0.922526682766088,0.385933309762967,-3.85185988877447E-34)); #30904=DIRECTION('',(-0.922526682766088,0.385933309762967,0.)); #30905=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30906=DIRECTION('',(-0.922526682766088,0.385933309762967,-3.85185988877447E-34)); #30907=DIRECTION('center_axis',(0.569593316803364,0.821926671579006,4.63390035133863E-18)); #30908=DIRECTION('ref_axis',(-0.821926671579006,0.569593316803364,-7.70371977754894E-34)); #30909=DIRECTION('',(-0.821926671579006,0.569593316803364,0.)); #30910=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30911=DIRECTION('',(-0.821926671579006,0.569593316803364,-7.70371977754894E-34)); #30912=DIRECTION('center_axis',(0.744305574866431,0.66783921060593,3.76517815965176E-18)); #30913=DIRECTION('ref_axis',(-0.66783921060593,0.744305574866431,-7.70371977754894E-34)); #30914=DIRECTION('',(-0.66783921060593,0.744305574866431,0.)); #30915=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30916=DIRECTION('',(-0.66783921060593,0.744305574866431,-7.70371977754894E-34)); #30917=DIRECTION('center_axis',(0.87314622693787,0.487458374001475,2.74821782606098E-18)); #30918=DIRECTION('ref_axis',(-0.487458374001475,0.87314622693787,-7.70371977754894E-34)); #30919=DIRECTION('',(-0.487458374001475,0.87314622693787,0.)); #30920=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30921=DIRECTION('',(-0.487458374001475,0.87314622693787,-7.70371977754894E-34)); #30922=DIRECTION('center_axis',(0.94703747831923,0.321123052207024,1.81044401635776E-18)); #30923=DIRECTION('ref_axis',(-0.321123052207024,0.947037478319229,-2.31111593326468E-33)); #30924=DIRECTION('',(-0.321123052207024,0.947037478319229,0.)); #30925=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30926=DIRECTION('',(-0.321123052207024,0.947037478319229,-2.31111593326468E-33)); #30927=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30928=DIRECTION('ref_axis',(0.930711055369277,0.365755288975301,2.06207393030644E-18)); #30929=DIRECTION('center_axis',(0.,0.,1.)); #30930=DIRECTION('ref_axis',(0.930711055369277,0.365755288975301,2.06207393030644E-18)); #30931=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30932=DIRECTION('center_axis',(-1.47630384917306E-18,3.75664373127458E-18, 1.)); #30933=DIRECTION('ref_axis',(0.930711055369277,0.365755288975301,-1.13635864253741E-33)); #30934=DIRECTION('center_axis',(0.296468813725613,-0.955042534386887,-5.38438779111129E-18)); #30935=DIRECTION('ref_axis',(0.955042534386887,0.296468813725613,-5.77778983316171E-34)); #30936=DIRECTION('',(0.955042534386887,0.296468813725613,0.)); #30937=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30938=DIRECTION('',(0.955042534386887,0.296468813725613,-5.77778983316171E-34)); #30939=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30940=DIRECTION('ref_axis',(0.14714933147952,-0.989114287757047,-5.57647927003774E-18)); #30941=DIRECTION('center_axis',(0.,0.,1.)); #30942=DIRECTION('ref_axis',(0.14714933147952,-0.989114287757047,-5.57647927003774E-18)); #30943=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30944=DIRECTION('center_axis',(6.31211689688814E-19,9.39045965763896E-20, 1.)); #30945=DIRECTION('ref_axis',(0.14714933147952,-0.989114287757047,1.65868425433529E-33)); #30946=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30947=DIRECTION('ref_axis',(-0.584224187876573,-0.811592322721179,-4.57563682922767E-18)); #30948=DIRECTION('center_axis',(0.,0.,1.)); #30949=DIRECTION('ref_axis',(-0.584224187876573,-0.811592322721179,-4.57563682922767E-18)); #30950=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30951=DIRECTION('center_axis',(-2.05630593121051E-18,1.48023044212551E-18, 1.)); #30952=DIRECTION('ref_axis',(-0.584224187876573,-0.811592322721179,1.10933233064174E-33)); #30953=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30954=DIRECTION('ref_axis',(-0.999993198525777,0.00368821124468544,2.07935865491807E-20)); #30955=DIRECTION('center_axis',(0.,0.,-1.)); #30956=DIRECTION('ref_axis',(-0.999993198525777,0.00368821124468544,2.07935865491807E-20)); #30957=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30958=DIRECTION('center_axis',(-1.59949577862607E-20,-4.33674969675762E-18, -1.)); #30959=DIRECTION('ref_axis',(-0.999993198525777,0.00368821124468544,-3.3748754624982E-36)); #30960=DIRECTION('center_axis',(0.999993947074937,0.00347934095601938,1.96160069213379E-20)); #30961=DIRECTION('ref_axis',(-0.00347934095601937,0.999993947074937,-1.54074395550979E-33)); #30962=DIRECTION('',(-0.00347934095601937,0.999993947074937,0.)); #30963=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30964=DIRECTION('',(-0.00347934095601937,0.999993947074937,-1.54074395550979E-33)); #30965=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30966=DIRECTION('ref_axis',(0.982948749489676,0.183879732098679,1.03668658609069E-18)); #30967=DIRECTION('center_axis',(0.,0.,1.)); #30968=DIRECTION('ref_axis',(0.982948749489676,0.183879732098679,1.03668658609069E-18)); #30969=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30970=DIRECTION('center_axis',(-7.83853679546583E-19,4.1901735732338E-18, 1.)); #30971=DIRECTION('ref_axis',(0.982948749489676,0.183879732098679,-4.25252912804563E-34)); #30972=DIRECTION('center_axis',(0.59102979837139,-0.806649724128803,-4.54777119334346E-18)); #30973=DIRECTION('ref_axis',(0.806649724128803,0.59102979837139,-7.70371977754894E-34)); #30974=DIRECTION('',(0.806649724128803,0.59102979837139,0.)); #30975=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30976=DIRECTION('',(0.806649724128803,0.59102979837139,-1.15555796663234E-33)); #30977=DIRECTION('center_axis',(0.421806736055837,-0.906685765531764,-5.11175951910635E-18)); #30978=DIRECTION('ref_axis',(0.906685765531764,0.421806736055837,-7.70371977754894E-34)); #30979=DIRECTION('',(0.906685765531764,0.421806736055837,0.)); #30980=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30981=DIRECTION('',(0.906685765531764,0.421806736055837,-7.70371977754894E-34)); #30982=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #30983=DIRECTION('ref_axis',(0.365962184506575,-0.930629722022231,-5.24675198525964E-18)); #30984=DIRECTION('center_axis',(0.,0.,1.)); #30985=DIRECTION('ref_axis',(0.365962184506575,-0.930629722022231,-5.24675198525964E-18)); #30986=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30987=DIRECTION('center_axis',(1.47700986006907E-18,5.80821504125266E-19, 1.)); #30988=DIRECTION('ref_axis',(0.365962184506575,-0.930629722022231,2.75465971548214E-33)); #30989=DIRECTION('center_axis',(-0.802266749048907,-0.596965713731113,-3.36560392337849E-18)); #30990=DIRECTION('ref_axis',(0.596965713731113,-0.802266749048907,7.70371977754894E-34)); #30991=DIRECTION('',(0.596965713731113,-0.802266749048907,0.)); #30992=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30993=DIRECTION('',(0.596965713731113,-0.802266749048907,7.70371977754894E-34)); #30994=DIRECTION('center_axis',(-0.875325886061638,-0.483533445782614,-2.72608966441194E-18)); #30995=DIRECTION('ref_axis',(0.483533445782614,-0.875325886061638,7.70371977754894E-34)); #30996=DIRECTION('',(0.483533445782614,-0.875325886061638,0.)); #30997=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #30998=DIRECTION('',(0.483533445782614,-0.875325886061638,7.70371977754894E-34)); #30999=DIRECTION('center_axis',(-0.930724927182903,-0.36571998840695,-2.06187491095138E-18)); #31000=DIRECTION('ref_axis',(0.36571998840695,-0.930724927182903,1.54074395550979E-33)); #31001=DIRECTION('',(0.36571998840695,-0.930724927182903,0.)); #31002=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31003=DIRECTION('',(0.36571998840695,-0.930724927182903,1.54074395550979E-33)); #31004=DIRECTION('center_axis',(-0.968182291362166,-0.250245980372724,-1.41084962499454E-18)); #31005=DIRECTION('ref_axis',(0.250245980372724,-0.968182291362166,1.54074395550979E-33)); #31006=DIRECTION('',(0.250245980372724,-0.968182291362166,0.)); #31007=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31008=DIRECTION('',(0.250245980372724,-0.968182291362166,1.54074395550979E-33)); #31009=DIRECTION('center_axis',(-0.989850692700954,-0.14211124571772,-8.01202070977225E-19)); #31010=DIRECTION('ref_axis',(0.14211124571772,-0.989850692700953,1.54074395550979E-33)); #31011=DIRECTION('',(0.14211124571772,-0.989850692700953,0.)); #31012=DIRECTION('',(0.14211124571772,-0.989850692700953,1.54074395550979E-33)); #31013=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #31014=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #31015=DIRECTION('center_axis',(0.860339492751552,-0.50972145061004,-2.87373374139211E-18)); #31016=DIRECTION('ref_axis',(0.50972145061004,0.860339492751552,-1.54074395550979E-33)); #31017=DIRECTION('',(0.50972145061004,0.860339492751552,0.)); #31018=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31019=DIRECTION('',(0.50972145061004,0.860339492751552,-1.54074395550979E-33)); #31020=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31021=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31022=DIRECTION('ref_axis',(-0.164990675151838,-0.986295126781503,-5.56058525967553E-18)); #31023=DIRECTION('center_axis',(0.,0.,1.)); #31024=DIRECTION('ref_axis',(-0.164990675151838,-0.986295126781503,-5.56058525967553E-18)); #31025=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31026=DIRECTION('center_axis',(-7.05726704794803E-19,1.18056271733534E-19, 1.)); #31027=DIRECTION('ref_axis',(-0.164990675151838,-0.986295126781503,2.22082671119987E-33)); #31028=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31029=DIRECTION('ref_axis',(-0.862715720276245,-0.505689218777936,-2.85100061792799E-18)); #31030=DIRECTION('center_axis',(0.,0.,1.)); #31031=DIRECTION('ref_axis',(-0.862715720276245,-0.505689218777936,-2.85100061792799E-18)); #31032=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31033=DIRECTION('center_axis',(-1.89200234738753E-18,3.2277930936225E-18, 1.)); #31034=DIRECTION('ref_axis',(-0.862715720276245,-0.505689218777936,1.11310622691601E-33)); #31035=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,-3.98656288337677E-18)); #31036=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,7.70371977754894E-34)); #31037=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #31038=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31039=DIRECTION('',(0.707106781186547,-0.707106781186547,7.70371977754894E-34)); #31040=DIRECTION('center_axis',(-0.195229187024688,-0.980757648215745,-5.52936577896188E-18)); #31041=DIRECTION('ref_axis',(0.980757648215745,-0.195229187024688,3.85185988877447E-34)); #31042=DIRECTION('',(0.980757648215745,-0.195229187024688,0.)); #31043=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31044=DIRECTION('',(0.980757648215745,-0.195229187024688,3.85185988877447E-34)); #31045=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31046=DIRECTION('ref_axis',(-0.169818420105342,0.985475369653106,5.55596359088603E-18)); #31047=DIRECTION('center_axis',(0.,0.,-1.)); #31048=DIRECTION('ref_axis',(-0.169818420105342,0.985475369653106,5.55596359088603E-18)); #31049=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31050=DIRECTION('center_axis',(-7.25773045513131E-19,-1.25066171859241E-19, -1.)); #31051=DIRECTION('ref_axis',(-0.169818420105342,0.985475369653106,-1.24869425531888E-33)); #31052=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31053=DIRECTION('ref_axis',(0.989985463706937,-0.14116933678728,-7.95891728492152E-19)); #31054=DIRECTION('center_axis',(0.,0.,1.)); #31055=DIRECTION('ref_axis',(0.989985463706937,-0.14116933678728,-7.95891728492152E-19)); #31056=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31057=DIRECTION('center_axis',(6.06093262993707E-19,4.25038137650685E-18, 1.)); #31058=DIRECTION('ref_axis',(0.989985463706937,-0.14116933678728,3.43216508176262E-34)); #31059=DIRECTION('center_axis',(0.921237168381145,-0.389001387649312,-2.19313197786415E-18)); #31060=DIRECTION('ref_axis',(0.389001387649312,0.921237168381145,-1.54074395550979E-33)); #31061=DIRECTION('',(0.389001387649312,0.921237168381145,0.)); #31062=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31063=DIRECTION('',(0.389001387649312,0.921237168381145,-1.54074395550979E-33)); #31064=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31065=DIRECTION('ref_axis',(-0.189798931613342,-0.981822980765084,-5.53537196545683E-18)); #31066=DIRECTION('center_axis',(0.,0.,1.)); #31067=DIRECTION('ref_axis',(-0.189798931613342,-0.981822980765084,-5.53537196545683E-18)); #31068=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31069=DIRECTION('center_axis',(-8.08159757789365E-19,1.56227610889484E-19, 1.)); #31070=DIRECTION('ref_axis',(-0.189798931613342,-0.981822980765084,1.22944573623434E-33)); #31071=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31072=DIRECTION('ref_axis',(-0.883621557984208,-0.468201817879385,-2.63965222615376E-18)); #31073=DIRECTION('center_axis',(0.,0.,1.)); #31074=DIRECTION('ref_axis',(-0.883621557984208,-0.468201817879385,-2.63965222615376E-18)); #31075=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31076=DIRECTION('center_axis',(-1.79419508662342E-18,3.38612409697694E-18, 1.)); #31077=DIRECTION('ref_axis',(-0.883621557984208,-0.468201817879385,6.10990908920452E-34)); #31078=DIRECTION('center_axis',(-0.707398124616858,-0.706815317666894,-3.98491965539449E-18)); #31079=DIRECTION('ref_axis',(0.706815317666894,-0.707398124616858,7.70371977754894E-34)); #31080=DIRECTION('',(0.706815317666894,-0.707398124616858,0.)); #31081=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31082=DIRECTION('',(0.706815317666894,-0.707398124616858,7.70371977754894E-34)); #31083=DIRECTION('center_axis',(0.124801636792587,-0.992181712920517,-5.59377295697383E-18)); #31084=DIRECTION('ref_axis',(0.992181712920517,0.124801636792587,-1.92592994438724E-34)); #31085=DIRECTION('',(0.992181712920517,0.124801636792587,0.)); #31086=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31087=DIRECTION('',(0.992181712920517,0.124801636792587,-1.92592994438724E-34)); #31088=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31089=DIRECTION('ref_axis',(-0.347283075600117,-0.937760345398398,-5.28695337950884E-18)); #31090=DIRECTION('center_axis',(0.,0.,1.)); #31091=DIRECTION('ref_axis',(-0.347283075600117,-0.937760345398398,-5.28695337950884E-18)); #31092=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31093=DIRECTION('center_axis',(-1.41236110014635E-18,5.23043130500908E-19, 1.)); #31094=DIRECTION('ref_axis',(-0.347283075600117,-0.937760345398398,8.97668425721467E-34)); #31095=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31096=DIRECTION('ref_axis',(-0.778399855778755,-0.627768798622242,-3.53926713548122E-18)); #31097=DIRECTION('center_axis',(0.,0.,1.)); #31098=DIRECTION('ref_axis',(-0.778399855778755,-0.627768798622242,-3.53926713548122E-18)); #31099=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31100=DIRECTION('center_axis',(-2.11920386755466E-18,2.62769986098491E-18, 1.)); #31101=DIRECTION('ref_axis',(-0.778399855778755,-0.627768798622242,6.79154557179352E-34)); #31102=DIRECTION('center_axis',(-0.942125352645328,0.335260823692414,1.89015066966229E-18)); #31103=DIRECTION('ref_axis',(-0.335260823692414,-0.942125352645328,7.70371977754894E-34)); #31104=DIRECTION('',(-0.335260823692414,-0.942125352645328,0.)); #31105=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31106=DIRECTION('',(-0.335260823692414,-0.942125352645328,7.70371977754894E-34)); #31107=DIRECTION('center_axis',(-0.34523870191493,0.938514911282764,5.29120750975863E-18)); #31108=DIRECTION('ref_axis',(-0.938514911282764,-0.34523870191493,7.70371977754894E-34)); #31109=DIRECTION('',(-0.938514911282764,-0.34523870191493,0.)); #31110=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31111=DIRECTION('',(-0.938514911282764,-0.34523870191493,7.70371977754894E-34)); #31112=DIRECTION('center_axis',(-0.511256393869845,0.8594282400103,4.84532861755572E-18)); #31113=DIRECTION('ref_axis',(-0.8594282400103,-0.511256393869845,3.85185988877447E-34)); #31114=DIRECTION('',(-0.8594282400103,-0.511256393869845,0.)); #31115=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31116=DIRECTION('',(-0.8594282400103,-0.511256393869845,3.85185988877447E-34)); #31117=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31118=DIRECTION('ref_axis',(0.77997364478645,-0.625812362804173,-3.52823704126697E-18)); #31119=DIRECTION('center_axis',(0.,0.,-1.)); #31120=DIRECTION('ref_axis',(0.77997364478645,-0.625812362804173,-3.52823704126697E-18)); #31121=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31122=DIRECTION('center_axis',(-2.11687069595966E-18,-2.63833610584319E-18, -1.)); #31123=DIRECTION('ref_axis',(0.77997364478645,-0.625812362804173,1.3062367857221E-33)); #31124=DIRECTION('center_axis',(-0.895678040058177,0.444703101583004,2.50716995800614E-18)); #31125=DIRECTION('ref_axis',(-0.444703101583004,-0.895678040058177,1.54074395550979E-33)); #31126=DIRECTION('',(-0.444703101583004,-0.895678040058177,0.)); #31127=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31128=DIRECTION('',(-0.444703101583004,-0.895678040058177,1.54074395550979E-33)); #31129=DIRECTION('center_axis',(-0.967728579396844,0.251994834507708,1.42070940454758E-18)); #31130=DIRECTION('ref_axis',(-0.251994834507708,-0.967728579396844,1.54074395550979E-33)); #31131=DIRECTION('',(-0.251994834507708,-0.967728579396844,0.)); #31132=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31133=DIRECTION('',(-0.251994834507708,-0.967728579396844,1.54074395550979E-33)); #31134=DIRECTION('center_axis',(-0.998713195841306,-0.0507144205571241, -2.85920361710764E-19)); #31135=DIRECTION('ref_axis',(0.0507144205571241,-0.998713195841306,1.54074395550979E-33)); #31136=DIRECTION('',(0.0507144205571241,-0.998713195841306,0.)); #31137=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31138=DIRECTION('',(0.0507144205571241,-0.998713195841306,1.54074395550979E-33)); #31139=DIRECTION('center_axis',(-0.945774563590328,-0.324823759699819,-1.83130805489556E-18)); #31140=DIRECTION('ref_axis',(0.324823759699819,-0.945774563590327,2.31111593326468E-33)); #31141=DIRECTION('',(0.324823759699819,-0.945774563590327,0.)); #31142=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31143=DIRECTION('',(0.324823759699819,-0.945774563590327,1.54074395550979E-33)); #31144=DIRECTION('center_axis',(-0.857134978100193,-0.515091864930113,-2.90401133873156E-18)); #31145=DIRECTION('ref_axis',(0.515091864930113,-0.857134978100193,1.54074395550979E-33)); #31146=DIRECTION('',(0.515091864930113,-0.857134978100193,0.)); #31147=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31148=DIRECTION('',(0.515091864930113,-0.857134978100193,1.54074395550979E-33)); #31149=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31150=DIRECTION('ref_axis',(-0.771570772215271,-0.636143492824638,-3.58648241605155E-18)); #31151=DIRECTION('center_axis',(0.,0.,1.)); #31152=DIRECTION('ref_axis',(-0.771570772215271,-0.636143492824638,-3.58648241605155E-18)); #31153=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31154=DIRECTION('center_axis',(-2.12863462099183E-18,2.58179526601804E-18, 1.)); #31155=DIRECTION('ref_axis',(-0.771570772215271,-0.636143492824638,1.56950298203339E-33)); #31156=DIRECTION('center_axis',(-0.917212768152384,-0.398397713269343,-2.24610706444737E-18)); #31157=DIRECTION('ref_axis',(0.398397713269343,-0.917212768152384,1.54074395550979E-33)); #31158=DIRECTION('',(0.398397713269343,-0.917212768152384,0.)); #31159=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31160=DIRECTION('',(0.398397713269343,-0.917212768152384,1.54074395550979E-33)); #31161=DIRECTION('center_axis',(-0.849854379241457,-0.527017584226672,-2.97124677073442E-18)); #31162=DIRECTION('ref_axis',(0.527017584226672,-0.849854379241457,1.54074395550979E-33)); #31163=DIRECTION('',(0.527017584226672,-0.849854379241457,0.)); #31164=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31165=DIRECTION('',(0.527017584226672,-0.849854379241457,1.54074395550979E-33)); #31166=DIRECTION('center_axis',(-0.768305340584725,-0.640083513011381,-3.60869566397128E-18)); #31167=DIRECTION('ref_axis',(0.640083513011381,-0.768305340584725,7.70371977754894E-34)); #31168=DIRECTION('',(0.640083513011381,-0.768305340584725,0.)); #31169=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31170=DIRECTION('',(0.640083513011381,-0.768305340584725,7.70371977754894E-34)); #31171=DIRECTION('center_axis',(0.134780295720509,-0.990875507763459,-5.58640876653506E-18)); #31172=DIRECTION('ref_axis',(0.990875507763459,0.134780295720509,-1.92592994438724E-34)); #31173=DIRECTION('',(0.990875507763459,0.134780295720509,0.)); #31174=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31175=DIRECTION('',(0.990875507763459,0.134780295720509,-1.92592994438724E-34)); #31176=DIRECTION('center_axis',(-0.311336313689054,-0.950299794685083,-5.3576489299325E-18)); #31177=DIRECTION('ref_axis',(0.950299794685083,-0.311336313689054,3.85185988877447E-34)); #31178=DIRECTION('',(0.950299794685083,-0.311336313689054,0.)); #31179=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31180=DIRECTION('',(0.950299794685083,-0.311336313689054,3.85185988877447E-34)); #31181=DIRECTION('center_axis',(-0.706864458310616,-0.707349021047771,-3.98792859569254E-18)); #31182=DIRECTION('ref_axis',(0.707349021047771,-0.706864458310616,7.70371977754894E-34)); #31183=DIRECTION('',(0.707349021047771,-0.706864458310616,0.)); #31184=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31185=DIRECTION('',(0.707349021047771,-0.706864458310616,7.70371977754894E-34)); #31186=DIRECTION('center_axis',(-0.499046188211221,0.866575387390994,4.88562317168527E-18)); #31187=DIRECTION('ref_axis',(-0.866575387390994,-0.499046188211221,3.85185988877447E-34)); #31188=DIRECTION('',(-0.866575387390994,-0.499046188211221,0.)); #31189=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31190=DIRECTION('',(-0.866575387390994,-0.499046188211221,3.85185988877447E-34)); #31191=DIRECTION('center_axis',(-0.496776776223673,0.867878352423204,4.89296909478196E-18)); #31192=DIRECTION('ref_axis',(-0.867878352423203,-0.496776776223673,3.85185988877447E-34)); #31193=DIRECTION('',(-0.867878352423204,-0.496776776223673,0.)); #31194=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31195=DIRECTION('',(-0.867878352423203,-0.496776776223673,3.85185988877447E-34)); #31196=DIRECTION('center_axis',(-0.903348935600979,-0.42890640068502,-2.41811050736131E-18)); #31197=DIRECTION('ref_axis',(0.42890640068502,-0.903348935600979,7.70371977754894E-34)); #31198=DIRECTION('',(0.42890640068502,-0.903348935600979,0.)); #31199=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31200=DIRECTION('',(0.42890640068502,-0.903348935600979,7.70371977754894E-34)); #31201=DIRECTION('center_axis',(-0.897030667438543,-0.441968303925477,-2.49175157548583E-18)); #31202=DIRECTION('ref_axis',(0.441968303925477,-0.897030667438543,1.54074395550979E-33)); #31203=DIRECTION('',(0.441968303925477,-0.897030667438543,0.)); #31204=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31205=DIRECTION('',(0.441968303925477,-0.897030667438543,1.54074395550979E-33)); #31206=DIRECTION('center_axis',(-0.708816897650295,0.705392518818715,3.97689812706302E-18)); #31207=DIRECTION('ref_axis',(-0.705392518818715,-0.708816897650295,7.70371977754894E-34)); #31208=DIRECTION('',(-0.705392518818715,-0.708816897650295,0.)); #31209=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31210=DIRECTION('',(-0.705392518818715,-0.708816897650295,7.70371977754894E-34)); #31211=DIRECTION('center_axis',(0.450184821742232,0.892935398711975,5.03423699569822E-18)); #31212=DIRECTION('ref_axis',(-0.892935398711976,0.450184821742232,-3.85185988877447E-34)); #31213=DIRECTION('',(-0.892935398711976,0.450184821742232,0.)); #31214=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31215=DIRECTION('',(-0.892935398711976,0.450184821742232,-3.85185988877447E-34)); #31216=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31217=DIRECTION('ref_axis',(-0.197862830276664,-0.980229718175749,-5.5263893879012E-18)); #31218=DIRECTION('center_axis',(0.,0.,-1.)); #31219=DIRECTION('ref_axis',(-0.197862830276664,-0.980229718175749,-5.5263893879012E-18)); #31220=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31221=DIRECTION('center_axis',(8.41128496539269E-19,-1.69784757455983E-19, -1.)); #31222=DIRECTION('ref_axis',(-0.197862830276664,-0.980229718175749,2.39220935307593E-33)); #31223=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31224=DIRECTION('ref_axis',(-0.865885781621308,0.500241754740702,2.82028862574071E-18)); #31225=DIRECTION('center_axis',(0.,0.,1.)); #31226=DIRECTION('ref_axis',(-0.865885781621308,0.500241754740702,2.82028862574071E-18)); #31227=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31228=DIRECTION('center_axis',(1.87849832392091E-18,3.25155781992988E-18, 1.)); #31229=DIRECTION('ref_axis',(-0.865885781621308,0.500241754740702,-6.21009101265214E-34)); #31230=DIRECTION('center_axis',(0.706864458310594,0.707349021047792,3.98792859569266E-18)); #31231=DIRECTION('ref_axis',(-0.707349021047792,0.706864458310594,-1.54074395550979E-33)); #31232=DIRECTION('',(-0.707349021047792,0.706864458310594,0.)); #31233=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31234=DIRECTION('',(-0.707349021047792,0.706864458310594,-1.54074395550979E-33)); #31235=DIRECTION('center_axis',(0.949526073909037,0.313688117350483,1.76852695923427E-18)); #31236=DIRECTION('ref_axis',(-0.313688117350483,0.949526073909037,-1.54074395550979E-33)); #31237=DIRECTION('',(-0.313688117350483,0.949526073909037,0.)); #31238=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31239=DIRECTION('',(-0.313688117350483,0.949526073909037,-1.54074395550979E-33)); #31240=DIRECTION('center_axis',(0.991308629491214,-0.131556835992098,-7.41697878417347E-19)); #31241=DIRECTION('ref_axis',(0.131556835992098,0.991308629491214,-1.54074395550979E-33)); #31242=DIRECTION('',(0.131556835992098,0.991308629491214,0.)); #31243=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31244=DIRECTION('',(0.131556835992098,0.991308629491214,-1.54074395550979E-33)); #31245=DIRECTION('center_axis',(0.670439504883305,0.741964197446095,4.18308381284311E-18)); #31246=DIRECTION('ref_axis',(-0.741964197446095,0.670439504883305,-7.70371977754894E-34)); #31247=DIRECTION('',(-0.741964197446095,0.670439504883305,0.)); #31248=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31249=DIRECTION('',(-0.741964197446095,0.670439504883305,-7.70371977754894E-34)); #31250=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31251=DIRECTION('ref_axis',(-0.451576561750889,-0.892232373811467,-5.03027344585112E-18)); #31252=DIRECTION('center_axis',(0.,0.,-1.)); #31253=DIRECTION('ref_axis',(-0.451576561750889,-0.892232373811467,-5.03027344585112E-18)); #31254=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31255=DIRECTION('center_axis',(1.74734891334172E-18,-8.84368061086226E-19, -1.)); #31256=DIRECTION('ref_axis',(-0.451576561750889,-0.892232373811467,1.8775674445563E-33)); #31257=DIRECTION('center_axis',(0.208943728631429,0.977927665149931,5.51341075526401E-18)); #31258=DIRECTION('ref_axis',(-0.977927665149931,0.208943728631429,-1.92592994438724E-34)); #31259=DIRECTION('',(-0.977927665149931,0.208943728631429,0.)); #31260=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31261=DIRECTION('',(-0.977927665149931,0.208943728631429,-1.92592994438724E-34)); #31262=DIRECTION('center_axis',(-0.0233571135276713,0.999727185409927,5.63631320883416E-18)); #31263=DIRECTION('ref_axis',(-0.999727185409927,-0.0233571135276713,2.40741243048404E-35)); #31264=DIRECTION('',(-0.999727185409927,-0.0233571135276713,0.)); #31265=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31266=DIRECTION('',(-0.999727185409927,-0.0233571135276713,2.40741243048404E-35)); #31267=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31268=DIRECTION('ref_axis',(0.920654507699574,-0.390378377286006,-2.20089524067324E-18)); #31269=DIRECTION('center_axis',(0.,0.,-1.)); #31270=DIRECTION('ref_axis',(0.920654507699574,-0.390378377286006,-2.20089524067324E-18)); #31271=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31272=DIRECTION('center_axis',(-1.55866471100027E-18,-3.67589952638008E-18, -1.)); #31273=DIRECTION('ref_axis',(0.920654507699574,-0.390378377286006,9.75653000196279E-34)); #31274=DIRECTION('center_axis',(-0.934719307425741,0.355386854463615,2.00361823834766E-18)); #31275=DIRECTION('ref_axis',(-0.355386854463615,-0.934719307425741,1.54074395550979E-33)); #31276=DIRECTION('',(-0.355386854463615,-0.934719307425741,0.)); #31277=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31278=DIRECTION('',(-0.355386854463615,-0.934719307425741,1.54074395550979E-33)); #31279=DIRECTION('center_axis',(0.0771503163353722,0.997019472572804,5.62104752650369E-18)); #31280=DIRECTION('ref_axis',(-0.997019472572804,0.0771503163353722,-1.92592994438724E-34)); #31281=DIRECTION('',(-0.997019472572804,0.0771503163353722,0.)); #31282=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31283=DIRECTION('',(-0.997019472572804,0.0771503163353722,-1.92592994438724E-34)); #31284=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31285=DIRECTION('ref_axis',(0.824827623612168,0.565384286416154,3.18755253243212E-18)); #31286=DIRECTION('center_axis',(0.,0.,1.)); #31287=DIRECTION('ref_axis',(0.824827623612168,0.565384286416154,3.18755253243212E-18)); #31288=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31289=DIRECTION('center_axis',(-2.02244721574225E-18,2.95050706381653E-18, 1.)); #31290=DIRECTION('ref_axis',(0.824827623612168,0.565384286416154,-6.32441283956011E-34)); #31291=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31292=DIRECTION('ref_axis',(0.929236567701904,-0.369485319391156,-2.08310328712404E-18)); #31293=DIRECTION('center_axis',(0.,0.,1.)); #31294=DIRECTION('ref_axis',(0.929236567701904,-0.369485319391156,-2.08310328712404E-18)); #31295=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31296=DIRECTION('center_axis',(1.48899672976592E-18,3.74475016427449E-18, 1.)); #31297=DIRECTION('ref_axis',(0.929236567701904,-0.369485319391156,5.95397707920601E-34)); #31298=DIRECTION('center_axis',(0.96331578477246,-0.268370450698692,-1.51303269352787E-18)); #31299=DIRECTION('ref_axis',(0.268370450698692,0.96331578477246,-1.54074395550979E-33)); #31300=DIRECTION('',(0.268370450698692,0.96331578477246,0.)); #31301=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31302=DIRECTION('',(0.268370450698692,0.96331578477246,-1.54074395550979E-33)); #31303=DIRECTION('center_axis',(0.707353576031003,0.706859900175525,3.98517100494859E-18)); #31304=DIRECTION('ref_axis',(-0.706859900175525,0.707353576031002,-7.70371977754894E-34)); #31305=DIRECTION('',(-0.706859900175525,0.707353576031002,0.)); #31306=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31307=DIRECTION('',(-0.706859900175525,0.707353576031002,-7.70371977754894E-34)); #31308=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31309=DIRECTION('ref_axis',(0.999967958706487,0.00800509590078497,4.51315403062476E-20)); #31310=DIRECTION('center_axis',(0.,0.,1.)); #31311=DIRECTION('ref_axis',(0.999967958706487,0.00800509590078497,4.51315403062476E-20)); #31312=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31313=DIRECTION('center_axis',(-3.47154571025515E-20,4.3365307804741E-18, 1.)); #31314=DIRECTION('ref_axis',(0.999967958706487,0.00800509590078497,-1.28211562583888E-35)); #31315=DIRECTION('center_axis',(0.983752639633442,-0.179529228857683,-1.01215909575117E-18)); #31316=DIRECTION('ref_axis',(0.179529228857683,0.983752639633442,-1.54074395550979E-33)); #31317=DIRECTION('',(0.179529228857683,0.983752639633442,0.)); #31318=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31319=DIRECTION('',(0.179529228857683,0.983752639633442,-1.54074395550979E-33)); #31320=DIRECTION('center_axis',(0.923127997354032,-0.384492783418799,-2.16771313765583E-18)); #31321=DIRECTION('ref_axis',(0.384492783418799,0.923127997354032,-1.54074395550979E-33)); #31322=DIRECTION('',(0.384492783418799,0.923127997354032,0.)); #31323=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31324=DIRECTION('',(0.384492783418799,0.923127997354032,-1.54074395550979E-33)); #31325=DIRECTION('center_axis',(0.816796495143276,-0.57692589257344,-3.25262239167456E-18)); #31326=DIRECTION('ref_axis',(0.57692589257344,0.816796495143276,-1.54074395550979E-33)); #31327=DIRECTION('',(0.57692589257344,0.816796495143276,0.)); #31328=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31329=DIRECTION('',(0.57692589257344,0.816796495143276,-1.54074395550979E-33)); #31330=DIRECTION('center_axis',(0.667745010635827,-0.744390086427109,-4.19676061418091E-18)); #31331=DIRECTION('ref_axis',(0.744390086427109,0.667745010635827,-1.54074395550979E-33)); #31332=DIRECTION('',(0.744390086427109,0.667745010635827,0.)); #31333=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31334=DIRECTION('',(0.744390086427109,0.667745010635827,-1.54074395550979E-33)); #31335=DIRECTION('center_axis',(0.482675786290832,-0.875799112427346,-4.93762516184394E-18)); #31336=DIRECTION('ref_axis',(0.875799112427346,0.482675786290832,-3.85185988877447E-34)); #31337=DIRECTION('',(0.875799112427346,0.482675786290832,0.)); #31338=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31339=DIRECTION('',(0.875799112427346,0.482675786290832,-3.85185988877447E-34)); #31340=DIRECTION('center_axis',(0.27171090715213,-0.962378918583822,-5.42574923427072E-18)); #31341=DIRECTION('ref_axis',(0.962378918583822,0.27171090715213,-5.77778983316171E-34)); #31342=DIRECTION('',(0.962378918583822,0.27171090715213,0.)); #31343=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31344=DIRECTION('',(0.962378918583822,0.27171090715213,-5.77778983316171E-34)); #31345=DIRECTION('center_axis',(0.0486836911188429,-0.998814246103371,-5.63116619278068E-18)); #31346=DIRECTION('ref_axis',(0.998814246103371,0.0486836911188429,-9.62964972193618E-35)); #31347=DIRECTION('',(0.998814246103371,0.0486836911188429,0.)); #31348=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31349=DIRECTION('',(0.998814246103371,0.0486836911188429,-9.62964972193618E-35)); #31350=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31351=DIRECTION('ref_axis',(-0.745617626277417,-0.66637403564697,-3.75691772110917E-18)); #31352=DIRECTION('center_axis',(0.,0.,1.)); #31353=DIRECTION('ref_axis',(-0.745617626277417,-0.66637403564697,-3.75691772110917E-18)); #31354=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31355=DIRECTION('center_axis',(-2.15478774871765E-18,2.41102990270419E-18, 1.)); #31356=DIRECTION('ref_axis',(-0.745617626277417,-0.66637403564697,5.52168034350561E-34)); #31357=DIRECTION('center_axis',(-0.707106781186526,-0.707106781186569,-3.9865628833769E-18)); #31358=DIRECTION('ref_axis',(0.707106781186569,-0.707106781186526,7.70371977754894E-34)); #31359=DIRECTION('',(0.707106781186569,-0.707106781186526,0.)); #31360=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31361=DIRECTION('',(0.707106781186569,-0.707106781186526,7.70371977754894E-34)); #31362=DIRECTION('center_axis',(0.136637397134978,-0.990621129243758,-5.58497461826786E-18)); #31363=DIRECTION('ref_axis',(0.990621129243758,0.136637397134978,-1.92592994438724E-34)); #31364=DIRECTION('',(0.990621129243758,0.136637397134978,0.)); #31365=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31366=DIRECTION('',(0.990621129243758,0.136637397134978,-1.92592994438724E-34)); #31367=DIRECTION('center_axis',(0.9908536697978,-0.134940746434246,-7.60775862292291E-19)); #31368=DIRECTION('ref_axis',(0.134940746434246,0.9908536697978,-1.54074395550979E-33)); #31369=DIRECTION('',(0.134940746434246,0.9908536697978,0.)); #31370=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31371=DIRECTION('',(0.134940746434246,0.9908536697978,-1.54074395550979E-33)); #31372=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31373=DIRECTION('ref_axis',(0.905581795128676,0.424171677898849,2.39141684436072E-18)); #31374=DIRECTION('center_axis',(0.,0.,1.)); #31375=DIRECTION('ref_axis',(0.905581795128676,0.424171677898849,2.39141684436072E-18)); #31376=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31377=DIRECTION('center_axis',(-1.66586427601318E-18,3.55652307807429E-18, 1.)); #31378=DIRECTION('ref_axis',(0.905581795128676,0.424171677898849,-4.78643595122759E-34)); #31379=DIRECTION('center_axis',(0.998107490979339,0.0614933854241903,3.46690562766058E-19)); #31380=DIRECTION('ref_axis',(-0.0614933854241903,0.998107490979339,-1.54074395550979E-33)); #31381=DIRECTION('',(-0.0614933854241903,0.998107490979339,0.)); #31382=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31383=DIRECTION('',(-0.0614933854241903,0.998107490979339,-1.54074395550979E-33)); #31384=DIRECTION('center_axis',(0.980362186678283,-0.19720543332113,-1.11181490801011E-18)); #31385=DIRECTION('ref_axis',(0.19720543332113,0.980362186678283,-1.54074395550979E-33)); #31386=DIRECTION('',(0.19720543332113,0.980362186678283,0.)); #31387=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31388=DIRECTION('',(0.19720543332113,0.980362186678283,-1.54074395550979E-33)); #31389=DIRECTION('center_axis',(0.89401226380258,-0.448042489246931,-2.52599692907815E-18)); #31390=DIRECTION('ref_axis',(0.448042489246931,0.89401226380258,-1.54074395550979E-33)); #31391=DIRECTION('',(0.448042489246931,0.89401226380258,0.)); #31392=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31393=DIRECTION('',(0.448042489246931,0.89401226380258,-1.54074395550979E-33)); #31394=DIRECTION('center_axis',(0.751611948858671,-0.659605547530394,-3.71875799160291E-18)); #31395=DIRECTION('ref_axis',(0.659605547530394,0.751611948858671,-7.70371977754894E-34)); #31396=DIRECTION('',(0.659605547530394,0.751611948858671,0.)); #31397=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31398=DIRECTION('',(0.659605547530394,0.751611948858671,-7.70371977754894E-34)); #31399=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31400=DIRECTION('ref_axis',(-0.758001548398058,-0.65225275210316,-3.67730402436745E-18)); #31401=DIRECTION('center_axis',(0.,0.,1.)); #31402=DIRECTION('ref_axis',(-0.758001548398058,-0.65225275210316,-3.67730402436745E-18)); #31403=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31404=DIRECTION('center_axis',(-2.144155495693E-18,2.49178432823914E-18, 1.)); #31405=DIRECTION('ref_axis',(-0.758001548398058,-0.65225275210316,5.94444312329465E-34)); #31406=DIRECTION('center_axis',(-0.707871134203665,-0.706341601041038,-3.98224891150103E-18)); #31407=DIRECTION('ref_axis',(0.706341601041038,-0.707871134203665,7.70371977754894E-34)); #31408=DIRECTION('',(0.706341601041038,-0.707871134203665,0.)); #31409=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31410=DIRECTION('',(0.706341601041038,-0.707871134203665,7.70371977754895E-34)); #31411=DIRECTION('center_axis',(0.989924089056956,-0.141599074519417,-7.98314525922619E-19)); #31412=DIRECTION('ref_axis',(0.141599074519417,0.989924089056956,-1.54074395550979E-33)); #31413=DIRECTION('',(0.141599074519417,0.989924089056956,0.)); #31414=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31415=DIRECTION('',(0.141599074519417,0.989924089056956,-1.54074395550979E-33)); #31416=DIRECTION('center_axis',(0.749790136110291,0.661675714978048,3.73042928783251E-18)); #31417=DIRECTION('ref_axis',(-0.661675714978048,0.749790136110291,-7.70371977754894E-34)); #31418=DIRECTION('',(-0.661675714978048,0.749790136110291,0.)); #31419=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31420=DIRECTION('',(-0.661675714978048,0.749790136110291,-7.70371977754894E-34)); #31421=DIRECTION('center_axis',(0.74475974428673,0.667332693107392,3.76232248931571E-18)); #31422=DIRECTION('ref_axis',(-0.667332693107392,0.74475974428673,-7.70371977754894E-34)); #31423=DIRECTION('',(-0.667332693107392,0.74475974428673,0.)); #31424=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31425=DIRECTION('',(-0.667332693107392,0.74475974428673,-7.70371977754894E-34)); #31426=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31427=DIRECTION('ref_axis',(0.99994545718912,-0.0104442638247658,-5.88832063498779E-20)); #31428=DIRECTION('center_axis',(0.,0.,1.)); #31429=DIRECTION('ref_axis',(0.99994545718912,-0.0104442638247658,-5.88832063498779E-20)); #31430=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31431=DIRECTION('center_axis',(4.52923036109931E-20,4.33633561937128E-18, 1.)); #31432=DIRECTION('ref_axis',(0.99994545718912,-0.0104442638247658,2.92255332460181E-35)); #31433=DIRECTION('center_axis',(0.94558934458184,-0.32536255379697,-1.83434569589496E-18)); #31434=DIRECTION('ref_axis',(0.32536255379697,0.94558934458184,-7.70371977754894E-34)); #31435=DIRECTION('',(0.32536255379697,0.94558934458184,0.)); #31436=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31437=DIRECTION('',(0.32536255379697,0.94558934458184,-7.70371977754894E-34)); #31438=DIRECTION('center_axis',(0.867059334041633,-0.498204888827157,-2.80880507860837E-18)); #31439=DIRECTION('ref_axis',(0.498204888827157,0.867059334041633,-1.54074395550979E-33)); #31440=DIRECTION('',(0.498204888827157,0.867059334041633,0.)); #31441=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31442=DIRECTION('',(0.498204888827157,0.867059334041633,-1.54074395550979E-33)); #31443=DIRECTION('center_axis',(0.751687426285766,-0.659519532056391,-3.71827304915124E-18)); #31444=DIRECTION('ref_axis',(0.659519532056391,0.751687426285766,-1.54074395550979E-33)); #31445=DIRECTION('',(0.659519532056391,0.751687426285766,0.)); #31446=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31447=DIRECTION('',(0.659519532056391,0.751687426285766,-1.54074395550979E-33)); #31448=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31449=DIRECTION('ref_axis',(-0.799995428940442,-0.600006094697711,-3.38274513915417E-18)); #31450=DIRECTION('center_axis',(0.,0.,1.)); #31451=DIRECTION('ref_axis',(-0.799995428940442,-0.600006094697711,-3.38274513915417E-18)); #31452=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31453=DIRECTION('center_axis',(-2.08167742199526E-18,2.77552584355621E-18, 1.)); #31454=DIRECTION('ref_axis',(-0.799995428940442,-0.600006094697711,1.02277879276211E-33)); #31455=DIRECTION('center_axis',(-0.665981573242484,-0.7459681924194,-4.20565774109623E-18)); #31456=DIRECTION('ref_axis',(0.7459681924194,-0.665981573242484,7.70371977754894E-34)); #31457=DIRECTION('',(0.7459681924194,-0.665981573242484,0.)); #31458=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31459=DIRECTION('',(0.7459681924194,-0.665981573242484,7.70371977754894E-34)); #31460=DIRECTION('center_axis',(0.146156827949378,-0.989261432404891,-5.57730884968142E-18)); #31461=DIRECTION('ref_axis',(0.989261432404891,0.146156827949378,-9.62964972193618E-35)); #31462=DIRECTION('',(0.989261432404891,0.146156827949378,0.)); #31463=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31464=DIRECTION('',(0.989261432404891,0.146156827949378,-1.92592994438724E-34)); #31465=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31466=DIRECTION('ref_axis',(0.999997536120502,0.00221985425784461,1.2515208206574E-20)); #31467=DIRECTION('center_axis',(0.,0.,1.)); #31468=DIRECTION('ref_axis',(0.999997536120502,0.00221985425784461,1.2515208206574E-20)); #31469=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31470=DIRECTION('center_axis',(-9.62705951585229E-21,4.3367873192203E-18, 1.)); #31471=DIRECTION('ref_axis',(0.999997536120502,0.00221985425784461,-6.76626781788005E-36)); #31472=DIRECTION('center_axis',(0.9886497396572,-0.150238784192867,-8.47023924310133E-19)); #31473=DIRECTION('ref_axis',(0.150238784192867,0.9886497396572,-1.54074395550979E-33)); #31474=DIRECTION('',(0.150238784192867,0.9886497396572,0.)); #31475=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31476=DIRECTION('',(0.150238784192867,0.9886497396572,-1.54074395550979E-33)); #31477=DIRECTION('center_axis',(0.94371554688241,-0.330758169320779,-1.86476537387357E-18)); #31478=DIRECTION('ref_axis',(0.330758169320779,0.94371554688241,-7.70371977754894E-34)); #31479=DIRECTION('',(0.330758169320779,0.94371554688241,0.)); #31480=DIRECTION('',(0.330758169320779,0.94371554688241,-7.70371977754894E-34)); #31481=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #31482=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #31483=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31484=DIRECTION('ref_axis',(0.722803275715108,-0.691053850734882,-3.89605884861041E-18)); #31485=DIRECTION('center_axis',(0.,0.,1.)); #31486=DIRECTION('ref_axis',(0.722803275715108,-0.691053850734882,-3.89605884861041E-18)); #31487=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31488=DIRECTION('center_axis',(2.16621853704187E-18,2.26574217454053E-18, 1.)); #31489=DIRECTION('ref_axis',(0.722803275715108,-0.691053850734882,1.71878648352175E-33)); #31490=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31491=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31492=DIRECTION('ref_axis',(-0.0231518860247381,-0.999731959163804,-5.63634012254865E-18)); #31493=DIRECTION('center_axis',(0.,0.,1.)); #31494=DIRECTION('ref_axis',(-0.0231518860247381,-0.999731959163804,-5.63634012254865E-18)); #31495=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31496=DIRECTION('center_axis',(-1.00378387779927E-19,2.32457207347153E-21, 1.)); #31497=DIRECTION('ref_axis',(-0.0231518860247381,-0.999731959163804,2.29156330789642E-33)); #31498=DIRECTION('center_axis',(0.139530038803454,-0.990217838796851,-5.58270092669872E-18)); #31499=DIRECTION('ref_axis',(0.990217838796851,0.139530038803454,-9.62964972193618E-35)); #31500=DIRECTION('',(0.990217838796851,0.139530038803454,0.)); #31501=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31502=DIRECTION('',(0.990217838796851,0.139530038803454,-9.62964972193618E-35)); #31503=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31504=DIRECTION('ref_axis',(-0.0930785578802637,0.99565876788332,5.61337607580535E-18)); #31505=DIRECTION('center_axis',(0.,0.,-1.)); #31506=DIRECTION('ref_axis',(-0.0930785578802637,0.99565876788332,5.61337607580535E-18)); #31507=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31508=DIRECTION('center_axis',(-4.01911499981182E-19,-3.75724535558227E-20, -1.)); #31509=DIRECTION('ref_axis',(-0.0930785578802637,0.99565876788332,-1.94260297637837E-33)); #31510=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31511=DIRECTION('ref_axis',(-0.740393037417483,0.672174196279294,3.78961816425248E-18)); #31512=DIRECTION('center_axis',(0.,0.,-1.)); #31513=DIRECTION('ref_axis',(-0.740393037417483,0.672174196279294,3.78961816425248E-18)); #31514=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31515=DIRECTION('center_axis',(-2.15831300252565E-18,-2.37735981012522E-18, -1.)); #31516=DIRECTION('ref_axis',(-0.740393037417483,0.672174196279294,-1.66027417114151E-33)); #31517=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31518=DIRECTION('ref_axis',(-0.98537015989689,-0.170427838056981,-9.60846807821608E-19)); #31519=DIRECTION('center_axis',(0.,0.,-1.)); #31520=DIRECTION('ref_axis',(-0.98537015989689,-0.170427838056981,-9.60846807821608E-19)); #31521=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31522=DIRECTION('center_axis',(7.28299825122765E-19,-4.21084327135665E-18, -1.)); #31523=DIRECTION('ref_axis',(-0.98537015989689,-0.170427838056981,2.76618935804477E-34)); #31524=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31525=DIRECTION('ref_axis',(-0.150573823382808,-0.988598767808196,-5.57357284522552E-18)); #31526=DIRECTION('center_axis',(0.,0.,-1.)); #31527=DIRECTION('ref_axis',(-0.150573823382808,-0.988598767808196,-5.57357284522552E-18)); #31528=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31529=DIRECTION('center_axis',(6.45564748621691E-19,-9.83261921888112E-20, -1.)); #31530=DIRECTION('ref_axis',(-0.150573823382808,-0.988598767808196,2.35098499231048E-33)); #31531=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31532=DIRECTION('ref_axis',(0.0857395324434305,-0.996317586202503,-5.61709039552059E-18)); #31533=DIRECTION('center_axis',(0.,0.,-1.)); #31534=DIRECTION('ref_axis',(0.0857395324434305,-0.996317586202503,-5.61709039552059E-18)); #31535=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31536=DIRECTION('center_axis',(-3.70466695541863E-19,-3.1881040444836E-20, -1.)); #31537=DIRECTION('ref_axis',(0.0857395324434305,-0.996317586202503,1.31413434076523E-33)); #31538=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31539=DIRECTION('ref_axis',(0.573054266896323,-0.819517423360795,-4.62031736814698E-18)); #31540=DIRECTION('center_axis',(0.,0.,-1.)); #31541=DIRECTION('ref_axis',(0.573054266896323,-0.819517423360795,-4.62031736814698E-18)); #31542=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31543=DIRECTION('center_axis',(-2.0366866017168E-18,-1.42416977867053E-18, -1.)); #31544=DIRECTION('ref_axis',(0.573054266896323,-0.819517423360795,1.82192732361645E-33)); #31545=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31546=DIRECTION('ref_axis',(0.985561496916564,-0.169317854331965,-9.54588884637963E-19)); #31547=DIRECTION('center_axis',(0.,0.,-1.)); #31548=DIRECTION('ref_axis',(0.985561496916564,-0.169317854331965,-9.54588884637963E-19)); #31549=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31550=DIRECTION('center_axis',(-7.23696961602852E-19,-4.21247873477584E-18, -1.)); #31551=DIRECTION('ref_axis',(0.985561496916564,-0.169317854331965,3.41591569024111E-34)); #31552=DIRECTION('center_axis',(-0.987056114398534,0.160375269379255,9.04171920464469E-19)); #31553=DIRECTION('ref_axis',(-0.160375269379255,-0.987056114398534,1.54074395550979E-33)); #31554=DIRECTION('',(-0.160375269379255,-0.987056114398534,0.)); #31555=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31556=DIRECTION('',(-0.160375269379255,-0.987056114398534,1.54074395550979E-33)); #31557=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31558=DIRECTION('ref_axis',(-0.994097408294127,0.108491210809445,6.11657313566951E-19)); #31559=DIRECTION('center_axis',(0.,0.,1.)); #31560=DIRECTION('ref_axis',(-0.994097408294127,0.108491210809445,6.11657313566951E-19)); #31561=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31562=DIRECTION('center_axis',(4.67728423216196E-19,4.28576296490406E-18, 1.)); #31563=DIRECTION('ref_axis',(-0.994097408294127,0.108491210809445,0.)); #31564=DIRECTION('center_axis',(-0.929845918953665,0.367949136437652,2.07444251606731E-18)); #31565=DIRECTION('ref_axis',(-0.367949136437652,-0.929845918953665,1.54074395550979E-33)); #31566=DIRECTION('',(-0.367949136437652,-0.929845918953665,0.)); #31567=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31568=DIRECTION('',(-0.367949136437652,-0.929845918953665,1.54074395550979E-33)); #31569=DIRECTION('center_axis',(-0.821655885763457,0.569983864149037,3.21348426771876E-18)); #31570=DIRECTION('ref_axis',(-0.569983864149037,-0.821655885763457,1.54074395550979E-33)); #31571=DIRECTION('',(-0.569983864149037,-0.821655885763457,0.)); #31572=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31573=DIRECTION('',(-0.569983864149037,-0.821655885763457,1.54074395550979E-33)); #31574=DIRECTION('center_axis',(-0.654783100580202,0.755816837067406,4.26118293509794E-18)); #31575=DIRECTION('ref_axis',(-0.755816837067406,-0.654783100580202,7.70371977754894E-34)); #31576=DIRECTION('',(-0.755816837067406,-0.654783100580202,0.)); #31577=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31578=DIRECTION('',(-0.755816837067406,-0.654783100580202,7.70371977754894E-34)); #31579=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31580=DIRECTION('ref_axis',(0.304533982808407,0.952501471555214,5.37006165673018E-18)); #31581=DIRECTION('center_axis',(0.,0.,1.)); #31582=DIRECTION('ref_axis',(0.304533982808407,0.952501471555214,5.37006165673018E-18)); #31583=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31584=DIRECTION('center_axis',(-1.25797404942365E-18,4.0219974349761E-19, 1.)); #31585=DIRECTION('ref_axis',(0.304533982808407,0.952501471555214,-1.7785834004952E-33)); #31586=DIRECTION('center_axis',(0.202643355229154,0.979252608156583,5.52088058691241E-18)); #31587=DIRECTION('ref_axis',(-0.979252608156583,0.202643355229154,0.)); #31588=DIRECTION('',(-0.979252608156583,0.202643355229154,0.)); #31589=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31590=DIRECTION('',(-0.979252608156583,0.202643355229154,-1.92592994438724E-34)); #31591=DIRECTION('center_axis',(0.389492727874589,0.92102954074927,5.19262759081916E-18)); #31592=DIRECTION('ref_axis',(-0.92102954074927,0.389492727874589,-3.85185988877447E-34)); #31593=DIRECTION('',(-0.92102954074927,0.389492727874589,0.)); #31594=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31595=DIRECTION('',(-0.92102954074927,0.389492727874589,-3.85185988877447E-34)); #31596=DIRECTION('center_axis',(0.591206632417003,0.806520128568498,4.54704055284572E-18)); #31597=DIRECTION('ref_axis',(-0.806520128568498,0.591206632417003,-7.70371977754894E-34)); #31598=DIRECTION('',(-0.806520128568498,0.591206632417003,0.)); #31599=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31600=DIRECTION('',(-0.806520128568498,0.591206632417003,-7.70371977754894E-34)); #31601=DIRECTION('center_axis',(0.772742512823967,0.634719630131684,3.57845488992143E-18)); #31602=DIRECTION('ref_axis',(-0.634719630131684,0.772742512823967,-7.70371977754894E-34)); #31603=DIRECTION('',(-0.634719630131684,0.772742512823967,0.)); #31604=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31605=DIRECTION('',(-0.634719630131684,0.772742512823967,-7.70371977754894E-34)); #31606=DIRECTION('center_axis',(0.900957075529427,0.4339082253812,2.44631005121166E-18)); #31607=DIRECTION('ref_axis',(-0.4339082253812,0.900957075529427,-7.70371977754894E-34)); #31608=DIRECTION('',(-0.4339082253812,0.900957075529427,0.)); #31609=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31610=DIRECTION('',(-0.4339082253812,0.900957075529427,-7.70371977754894E-34)); #31611=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31612=DIRECTION('ref_axis',(0.979777126952495,-0.20009193262277,-1.12808856184144E-18)); #31613=DIRECTION('center_axis',(0.,0.,1.)); #31614=DIRECTION('ref_axis',(0.979777126952495,-0.20009193262277,-1.12808856184144E-18)); #31615=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31616=DIRECTION('center_axis',(8.50211823129984E-19,4.16317682801242E-18, 1.)); #31617=DIRECTION('ref_axis',(0.979777126952495,-0.20009193262277,2.70987081758176E-34)); #31618=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31619=DIRECTION('ref_axis',(0.157951077733218,-0.987446938849331,-5.56707900483595E-18)); #31620=DIRECTION('center_axis',(0.,0.,1.)); #31621=DIRECTION('ref_axis',(0.157951077733218,-0.987446938849331,-5.56707900483595E-18)); #31622=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31623=DIRECTION('center_axis',(6.7640471433831E-19,1.08197057897679E-19, 1.)); #31624=DIRECTION('ref_axis',(0.157951077733218,-0.987446938849331,2.12217652337624E-33)); #31625=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31626=DIRECTION('ref_axis',(-0.103461721046848,-0.994633436135154,-5.60759540787917E-18)); #31627=DIRECTION('center_axis',(0.,0.,1.)); #31628=DIRECTION('ref_axis',(-0.103461721046848,-0.994633436135154,-5.60759540787917E-18)); #31629=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31630=DIRECTION('center_axis',(-4.46285747564291E-19,4.64226214846532E-20, 1.)); #31631=DIRECTION('ref_axis',(-0.103461721046848,-0.994633436135154,2.44620537978875E-33)); #31632=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31633=DIRECTION('ref_axis',(-0.719863543627623,-0.694115608926842,-3.91332058600382E-18)); #31634=DIRECTION('center_axis',(0.,0.,1.)); #31635=DIRECTION('ref_axis',(-0.719863543627623,-0.694115608926842,-3.91332058600382E-18)); #31636=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31637=DIRECTION('center_axis',(-2.16696678799358E-18,2.24734953495742E-18, 1.)); #31638=DIRECTION('ref_axis',(-0.719863543627623,-0.694115608926842,5.29910201401898E-34)); #31639=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31640=DIRECTION('ref_axis',(-0.993853420204225,0.110704015954094,6.24132779921551E-19)); #31641=DIRECTION('center_axis',(0.,0.,1.)); #31642=DIRECTION('ref_axis',(-0.993853420204225,0.110704015954094,6.24132779921551E-19)); #31643=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31644=DIRECTION('center_axis',(4.77151152297388E-19,4.28365945515286E-18, 1.)); #31645=DIRECTION('ref_axis',(-0.993853420204225,0.110704015954094,-1.32488248615819E-34)); #31646=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31647=DIRECTION('ref_axis',(-0.724981135036789,0.6887687230419,3.88317563848289E-18)); #31648=DIRECTION('center_axis',(0.,0.,1.)); #31649=DIRECTION('ref_axis',(-0.724981135036789,0.6887687230419,3.88317563848289E-18)); #31650=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31651=DIRECTION('center_axis',(2.16556083225733E-18,2.27941643927642E-18, 1.)); #31652=DIRECTION('ref_axis',(-0.724981135036789,0.6887687230419,-1.75580328176878E-33)); #31653=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31654=DIRECTION('ref_axis',(0.0355730818076561,0.99936707762999,5.63428297472001E-18)); #31655=DIRECTION('center_axis',(0.,0.,1.)); #31656=DIRECTION('ref_axis',(0.0355730818076561,0.99936707762999,5.63428297472001E-18)); #31657=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31658=DIRECTION('center_axis',(-1.54176007067076E-19,5.48798918329659E-21, 1.)); #31659=DIRECTION('ref_axis',(0.0355730818076561,0.99936707762999,-2.34162191735246E-33)); #31660=DIRECTION('center_axis',(-0.125902068099043,0.992042675114525,5.592989082499E-18)); #31661=DIRECTION('ref_axis',(-0.992042675114525,-0.125902068099043,1.92592994438724E-34)); #31662=DIRECTION('',(-0.992042675114525,-0.125902068099043,0.)); #31663=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31664=DIRECTION('',(-0.992042675114525,-0.125902068099043,1.92592994438724E-34)); #31665=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31666=DIRECTION('ref_axis',(0.117308425326129,-0.993095530826468,-5.59892492644005E-18)); #31667=DIRECTION('center_axis',(0.,0.,-1.)); #31668=DIRECTION('ref_axis',(0.117308425326129,-0.993095530826468,-5.59892492644005E-18)); #31669=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31670=DIRECTION('center_axis',(2.3149867036032E-18,-2.39347441568922E-17, -1.)); #31671=DIRECTION('ref_axis',(0.117308425326129,-0.993095530826468,2.40410548985352E-17)); #31672=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31673=DIRECTION('ref_axis',(0.740522534510085,-0.672031528935035,-3.78881382698062E-18)); #31674=DIRECTION('center_axis',(0.,0.,-1.)); #31675=DIRECTION('ref_axis',(0.740522534510085,-0.672031528935035,-3.78881382698062E-18)); #31676=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31677=DIRECTION('center_axis',(-2.15823232149425E-18,-2.37819149840663E-18, -1.)); #31678=DIRECTION('ref_axis',(0.740522534510085,-0.672031528935035,1.62873060059445E-33)); #31679=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31680=DIRECTION('ref_axis',(0.986255054696677,0.16523004292578,9.31542411800021E-19)); #31681=DIRECTION('center_axis',(0.,0.,-1.)); #31682=DIRECTION('ref_axis',(0.986255054696677,0.16523004292578,9.31542411800021E-19)); #31683=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31684=DIRECTION('center_axis',(7.06721855617001E-19,-4.21840961864286E-18, -1.)); #31685=DIRECTION('ref_axis',(0.986255054696677,0.16523004292578,-4.07002631689234E-34)); #31686=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31687=DIRECTION('ref_axis',(0.162691546733013,0.986676978864724,5.56273808493815E-18)); #31688=DIRECTION('center_axis',(0.,0.,-1.)); #31689=DIRECTION('ref_axis',(0.162691546733013,0.986676978864724,5.56273808493815E-18)); #31690=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31691=DIRECTION('center_axis',(6.96161894699413E-19,-1.14788991586235E-19, -1.)); #31692=DIRECTION('ref_axis',(0.162691546733013,0.986676978864724,-2.31639265293667E-33)); #31693=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31694=DIRECTION('ref_axis',(-0.0887249535280097,0.996056164391071,5.61561653822196E-18)); #31695=DIRECTION('center_axis',(0.,0.,-1.)); #31696=DIRECTION('ref_axis',(-0.0887249535280097,0.996056164391071,5.61561653822196E-18)); #31697=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31698=DIRECTION('center_axis',(-3.83265627988358E-19,-3.41398670555298E-20, -1.)); #31699=DIRECTION('ref_axis',(-0.0887249535280097,0.996056164391071,-2.30474240263424E-33)); #31700=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31701=DIRECTION('ref_axis',(-0.674406737426431,0.738360042603767,4.16276412379097E-18)); #31702=DIRECTION('center_axis',(0.,0.,-1.)); #31703=DIRECTION('ref_axis',(-0.674406737426431,0.738360042603767,4.16276412379097E-18)); #31704=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31705=DIRECTION('center_axis',(-2.15953551646282E-18,-1.97248661625607E-18, -1.)); #31706=DIRECTION('ref_axis',(-0.674406737426431,0.738360042603767,-9.42829974744474E-34)); #31707=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31708=DIRECTION('ref_axis',(-0.999878455430693,0.0155908423611838,8.78988508261461E-20)); #31709=DIRECTION('center_axis',(0.,0.,-1.)); #31710=DIRECTION('ref_axis',(-0.999878455430693,0.0155908423611838,8.78988508261461E-20)); #31711=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31712=DIRECTION('center_axis',(-6.76062824601392E-20,-4.33575452292128E-18, -1.)); #31713=DIRECTION('ref_axis',(-0.999878455430693,0.0155908423611838,-2.94706195758365E-35)); #31714=DIRECTION('center_axis',(0.995035984989307,-0.0995157704907079,-5.6105511572549E-19)); #31715=DIRECTION('ref_axis',(0.0995157704907079,0.995035984989307,-1.54074395550979E-33)); #31716=DIRECTION('',(0.0995157704907079,0.995035984989307,0.)); #31717=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31718=DIRECTION('',(0.0995157704907079,0.995035984989307,-1.54074395550979E-33)); #31719=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31720=DIRECTION('ref_axis',(0.988040512414168,-0.154194506479148,-8.69325698332118E-19)); #31721=DIRECTION('center_axis',(0.,0.,1.)); #31722=DIRECTION('ref_axis',(0.988040512414168,-0.154194506479148,-8.69325698332118E-19)); #31723=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31724=DIRECTION('center_axis',(6.60714621872976E-19,4.23369696146204E-18, 1.)); #31725=DIRECTION('ref_axis',(0.988040512414168,-0.154194506479148,0.)); #31726=DIRECTION('center_axis',(0.974983223123511,-0.222278461906885,-1.25317291474014E-18)); #31727=DIRECTION('ref_axis',(0.222278461906885,0.974983223123511,-1.54074395550979E-33)); #31728=DIRECTION('',(0.222278461906885,0.974983223123511,0.)); #31729=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31730=DIRECTION('',(0.222278461906885,0.974983223123511,-1.54074395550979E-33)); #31731=DIRECTION('center_axis',(0.931978870666039,-0.362512599273536,-2.04379212796582E-18)); #31732=DIRECTION('ref_axis',(0.362512599273536,0.931978870666039,-1.54074395550979E-33)); #31733=DIRECTION('',(0.362512599273536,0.931978870666039,0.)); #31734=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31735=DIRECTION('',(0.362512599273536,0.931978870666039,-1.54074395550979E-33)); #31736=DIRECTION('center_axis',(0.82535089854244,-0.564620132722157,-3.18324434753736E-18)); #31737=DIRECTION('ref_axis',(0.564620132722157,0.82535089854244,-1.54074395550979E-33)); #31738=DIRECTION('',(0.564620132722157,0.82535089854244,0.)); #31739=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31740=DIRECTION('',(0.564620132722157,0.82535089854244,-1.54074395550979E-33)); #31741=DIRECTION('center_axis',(0.659855622573297,-0.751392412364144,-4.23623868654651E-18)); #31742=DIRECTION('ref_axis',(0.751392412364144,0.659855622573297,-7.70371977754894E-34)); #31743=DIRECTION('',(0.751392412364144,0.659855622573297,0.)); #31744=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31745=DIRECTION('',(0.751392412364144,0.659855622573297,-7.70371977754894E-34)); #31746=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31747=DIRECTION('ref_axis',(-0.30781834765341,-0.95144514547499,-5.36410624736881E-18)); #31748=DIRECTION('center_axis',(0.,0.,1.)); #31749=DIRECTION('ref_axis',(-0.30781834765341,-0.95144514547499,-5.36410624736881E-18)); #31750=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31751=DIRECTION('center_axis',(-1.27013101669416E-18,4.10921883118084E-19, 1.)); #31752=DIRECTION('ref_axis',(-0.30781834765341,-0.95144514547499,1.80093430504057E-33)); #31753=DIRECTION('center_axis',(-0.197930521093223,-0.980216052112883,-5.52631234067095E-18)); #31754=DIRECTION('ref_axis',(0.980216052112883,-0.197930521093223,1.92592994438724E-34)); #31755=DIRECTION('',(0.980216052112883,-0.197930521093223,0.)); #31756=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31757=DIRECTION('',(0.980216052112883,-0.197930521093223,1.92592994438724E-34)); #31758=DIRECTION('center_axis',(-0.384155858370992,-0.923268258134788,-5.20524914653455E-18)); #31759=DIRECTION('ref_axis',(0.923268258134788,-0.384155858370992,3.85185988877447E-34)); #31760=DIRECTION('',(0.923268258134788,-0.384155858370992,0.)); #31761=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31762=DIRECTION('',(0.923268258134788,-0.384155858370992,3.85185988877447E-34)); #31763=DIRECTION('center_axis',(-0.585920785550293,-0.810368331723376,-4.56873614999328E-18)); #31764=DIRECTION('ref_axis',(0.810368331723376,-0.585920785550293,7.70371977754894E-34)); #31765=DIRECTION('',(0.810368331723376,-0.585920785550293,0.)); #31766=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31767=DIRECTION('',(0.810368331723376,-0.585920785550293,7.70371977754895E-34)); #31768=DIRECTION('center_axis',(-0.768388390050201,-0.639983813885992,-3.60813357512791E-18)); #31769=DIRECTION('ref_axis',(0.639983813885992,-0.768388390050201,7.70371977754894E-34)); #31770=DIRECTION('',(0.639983813885992,-0.768388390050201,0.)); #31771=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31772=DIRECTION('',(0.639983813885992,-0.768388390050201,7.70371977754894E-34)); #31773=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31774=DIRECTION('ref_axis',(-0.939031835967394,0.343830206700496,1.93846357676825E-18)); #31775=DIRECTION('center_axis',(0.,0.,1.)); #31776=DIRECTION('ref_axis',(-0.939031835967394,0.343830206700496,1.93846357676825E-18)); #31777=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31778=DIRECTION('center_axis',(1.40021462419124E-18,3.82411458818693E-18, 1.)); #31779=DIRECTION('ref_axis',(-0.939031835967394,0.343830206700496,-9.81452017761165E-34)); #31780=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31781=DIRECTION('ref_axis',(-0.662312518056443,0.749227687973245,4.22403429233179E-18)); #31782=DIRECTION('center_axis',(0.,0.,1.)); #31783=DIRECTION('ref_axis',(-0.662312518056443,0.749227687973245,4.22403429233179E-18)); #31784=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31785=DIRECTION('center_axis',(2.15202368347002E-18,1.90237526935474E-18, 1.)); #31786=DIRECTION('ref_axis',(-0.662312518056443,0.749227687973245,-1.47537509466994E-33)); #31787=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31788=DIRECTION('ref_axis',(0.11626584255855,0.993218130047046,5.59961612261479E-18)); #31789=DIRECTION('center_axis',(0.,0.,1.)); #31790=DIRECTION('ref_axis',(0.11626584255855,0.993218130047046,5.59961612261479E-18)); #31791=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31792=DIRECTION('center_axis',(-5.00803143461731E-19,5.86238789537519E-20, 1.)); #31793=DIRECTION('ref_axis',(0.11626584255855,0.993218130047046,-1.96718471472355E-33)); #31794=DIRECTION('center_axis',(-0.013524833810696,0.999908535252297,5.63733563227816E-18)); #31795=DIRECTION('ref_axis',(-0.999908535252297,-0.013524833810696,2.40741243048404E-35)); #31796=DIRECTION('',(-0.999908535252297,-0.013524833810696,0.)); #31797=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31798=DIRECTION('',(-0.999908535252297,-0.013524833810696,2.40741243048404E-35)); #31799=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31800=DIRECTION('ref_axis',(0.0512363691287448,0.998686554669934,5.63044628746707E-18)); #31801=DIRECTION('center_axis',(0.,0.,1.)); #31802=DIRECTION('ref_axis',(0.0512363691287448,0.998686554669934,5.63044628746707E-18)); #31803=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31804=DIRECTION('center_axis',(-2.21910480264794E-19,1.13848406461661E-20, 1.)); #31805=DIRECTION('ref_axis',(0.0512363691287448,0.998686554669934,-2.22465191755858E-33)); #31806=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31807=DIRECTION('ref_axis',(0.724469921750472,0.689306414070597,3.88620706054638E-18)); #31808=DIRECTION('center_axis',(0.,0.,1.)); #31809=DIRECTION('ref_axis',(0.724469921750472,0.689306414070597,3.88620706054638E-18)); #31810=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31811=DIRECTION('center_axis',(-2.16572317312321E-18,2.27620295667967E-18, 1.)); #31812=DIRECTION('ref_axis',(0.724469921750472,0.689306414070597,-1.05803053820343E-33)); #31813=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31814=DIRECTION('ref_axis',(0.993357647086136,-0.115067740811645,-6.48734811769119E-19)); #31815=DIRECTION('center_axis',(0.,0.,1.)); #31816=DIRECTION('ref_axis',(0.993357647086136,-0.115067740811645,-6.48734811769119E-19)); #31817=DIRECTION('center_axis',(4.95712066309107E-19,4.27938680596037E-18, 1.)); #31818=DIRECTION('ref_axis',(0.993357647086136,-0.115067740811645,1.32248959997352E-34)); #31819=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #31820=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #31821=DIRECTION('center_axis',(0.5590166292096,0.82915644378316,4.6746607319363E-18)); #31822=DIRECTION('ref_axis',(-0.82915644378316,0.5590166292096,-7.70371977754894E-34)); #31823=DIRECTION('',(-0.82915644378316,0.5590166292096,0.)); #31824=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31825=DIRECTION('',(-0.82915644378316,0.5590166292096,-7.70371977754894E-34)); #31826=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31827=DIRECTION('center_axis',(0.74768013298067,0.664059047635079,3.74386616294396E-18)); #31828=DIRECTION('ref_axis',(-0.664059047635079,0.74768013298067,-7.70371977754894E-34)); #31829=DIRECTION('',(-0.664059047635079,0.74768013298067,0.)); #31830=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31831=DIRECTION('',(-0.664059047635079,0.74768013298067,-7.70371977754894E-34)); #31832=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31833=DIRECTION('ref_axis',(0.950957551992422,-0.309321409392528,-1.74390810911021E-18)); #31834=DIRECTION('center_axis',(0.,0.,1.)); #31835=DIRECTION('ref_axis',(0.950957551992422,-0.309321409392528,-1.74390810911021E-18)); #31836=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31837=DIRECTION('center_axis',(1.2756789125686E-18,3.92186398674123E-18, 1.)); #31838=DIRECTION('ref_axis',(0.950957551992422,-0.309321409392528,9.38437806012129E-34)); #31839=DIRECTION('center_axis',(0.975977904085814,-0.217869526864729,-1.22831599459467E-18)); #31840=DIRECTION('ref_axis',(0.217869526864729,0.975977904085814,-1.54074395550979E-33)); #31841=DIRECTION('',(0.217869526864729,0.975977904085814,0.)); #31842=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31843=DIRECTION('',(0.217869526864729,0.975977904085814,-1.54074395550979E-33)); #31844=DIRECTION('center_axis',(0.91246205851659,-0.409161327311937,-2.30679071983701E-18)); #31845=DIRECTION('ref_axis',(0.409161327311937,0.91246205851659,-1.54074395550979E-33)); #31846=DIRECTION('',(0.409161327311937,0.91246205851659,0.)); #31847=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31848=DIRECTION('',(0.409161327311937,0.91246205851659,-1.54074395550979E-33)); #31849=DIRECTION('center_axis',(0.790548822632786,-0.61239901945865,-3.45261460609032E-18)); #31850=DIRECTION('ref_axis',(0.61239901945865,0.790548822632786,-1.54074395550979E-33)); #31851=DIRECTION('',(0.61239901945865,0.790548822632786,0.)); #31852=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31853=DIRECTION('',(0.61239901945865,0.790548822632786,-1.54074395550979E-33)); #31854=DIRECTION('center_axis',(0.61243214062372,-0.790523164196374,-4.45685204651349E-18)); #31855=DIRECTION('ref_axis',(0.790523164196374,0.61243214062372,-7.70371977754894E-34)); #31856=DIRECTION('',(0.790523164196374,0.61243214062372,0.)); #31857=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31858=DIRECTION('',(0.790523164196374,0.61243214062372,-7.70371977754894E-34)); #31859=DIRECTION('center_axis',(0.409117220392464,-0.912481835423777,-5.1444368992641E-18)); #31860=DIRECTION('ref_axis',(0.912481835423777,0.409117220392464,-1.15555796663234E-33)); #31861=DIRECTION('',(0.912481835423777,0.409117220392464,0.)); #31862=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31863=DIRECTION('',(0.912481835423777,0.409117220392464,-1.15555796663234E-33)); #31864=DIRECTION('center_axis',(0.217894671787907,-0.97597229059356,-5.5023866442854E-18)); #31865=DIRECTION('ref_axis',(0.97597229059356,0.217894671787907,-3.85185988877447E-34)); #31866=DIRECTION('',(0.97597229059356,0.217894671787907,0.)); #31867=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31868=DIRECTION('',(0.97597229059356,0.217894671787907,-3.85185988877447E-34)); #31869=DIRECTION('center_axis',(0.0948599249618685,-0.995490630109711,-5.61242814004034E-18)); #31870=DIRECTION('ref_axis',(0.995490630109711,0.0948599249618685,-1.92592994438724E-34)); #31871=DIRECTION('',(0.995490630109711,0.0948599249618685,0.)); #31872=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31873=DIRECTION('',(0.995490630109711,0.0948599249618685,-1.92592994438724E-34)); #31874=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31875=DIRECTION('ref_axis',(-0.026861222185964,-0.999639172273014,-5.63581700385607E-18)); #31876=DIRECTION('center_axis',(0.,0.,1.)); #31877=DIRECTION('ref_axis',(-0.026861222185964,-0.999639172273014,-5.63581700385607E-18)); #31878=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31879=DIRECTION('center_axis',(1.62062372842795E-19,1.03679525866566E-17, 1.)); #31880=DIRECTION('ref_axis',(-0.026861222185964,-0.999639172273014,1.03685647352962E-17)); #31881=DIRECTION('center_axis',(-0.0604253378227574,-0.998172719798035, -5.62754936286813E-18)); #31882=DIRECTION('ref_axis',(0.998172719798035,-0.0604253378227574,9.62964972193618E-35)); #31883=DIRECTION('',(0.998172719798035,-0.0604253378227574,0.)); #31884=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31885=DIRECTION('',(0.998172719798035,-0.0604253378227574,9.62964972193618E-35)); #31886=DIRECTION('center_axis',(-0.136189871123547,-0.990682753964836,-5.58532204928151E-18)); #31887=DIRECTION('ref_axis',(0.990682753964836,-0.136189871123547,1.92592994438724E-34)); #31888=DIRECTION('',(0.990682753964836,-0.136189871123547,0.)); #31889=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31890=DIRECTION('',(0.990682753964836,-0.136189871123547,2.88889491658085E-34)); #31891=DIRECTION('center_axis',(-0.265939031139604,-0.963989850421948,-5.43483142842355E-18)); #31892=DIRECTION('ref_axis',(0.963989850421948,-0.265939031139604,3.85185988877447E-34)); #31893=DIRECTION('',(0.963989850421948,-0.265939031139604,0.)); #31894=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31895=DIRECTION('',(0.963989850421948,-0.265939031139604,3.85185988877447E-34)); #31896=DIRECTION('center_axis',(-0.463538765329735,-0.886076640611062,-4.99556833744369E-18)); #31897=DIRECTION('ref_axis',(0.886076640611062,-0.463538765329735,3.85185988877447E-34)); #31898=DIRECTION('',(0.886076640611062,-0.463538765329735,0.)); #31899=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31900=DIRECTION('',(0.886076640611062,-0.463538765329735,3.85185988877447E-34)); #31901=DIRECTION('center_axis',(-0.664538271769642,-0.747254230736379,-4.21290823388951E-18)); #31902=DIRECTION('ref_axis',(0.747254230736379,-0.664538271769642,7.70371977754894E-34)); #31903=DIRECTION('',(0.747254230736379,-0.664538271769642,0.)); #31904=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31905=DIRECTION('',(0.747254230736379,-0.664538271769642,7.70371977754894E-34)); #31906=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31907=DIRECTION('ref_axis',(-0.955276515327754,0.295714016007465,1.66719164866647E-18)); #31908=DIRECTION('center_axis',(0.,0.,1.)); #31909=DIRECTION('ref_axis',(-0.955276515327754,0.295714016007465,1.66719164866647E-18)); #31910=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31911=DIRECTION('center_axis',(1.22509925270896E-18,3.95756873772567E-18, 1.)); #31912=DIRECTION('ref_axis',(-0.955276515327754,0.295714016007465,-7.17775951604369E-34)); #31913=DIRECTION('center_axis',(-0.99037679892627,0.13839724039357,7.80263061243676E-19)); #31914=DIRECTION('ref_axis',(-0.13839724039357,-0.99037679892627,7.70371977754894E-34)); #31915=DIRECTION('',(-0.13839724039357,-0.99037679892627,0.)); #31916=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31917=DIRECTION('',(-0.13839724039357,-0.99037679892627,7.70371977754894E-34)); #31918=DIRECTION('center_axis',(-0.948905328016419,0.315560895014024,1.77908540121351E-18)); #31919=DIRECTION('ref_axis',(-0.315560895014024,-0.948905328016419,7.70371977754894E-34)); #31920=DIRECTION('',(-0.315560895014024,-0.948905328016419,0.)); #31921=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31922=DIRECTION('',(-0.315560895014024,-0.948905328016419,7.70371977754894E-34)); #31923=DIRECTION('center_axis',(-0.855860342354988,0.517206993750088,2.91593612049242E-18)); #31924=DIRECTION('ref_axis',(-0.517206993750088,-0.855860342354987,1.54074395550979E-33)); #31925=DIRECTION('',(-0.517206993750088,-0.855860342354987,0.)); #31926=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31927=DIRECTION('',(-0.517206993750088,-0.855860342354987,1.54074395550979E-33)); #31928=DIRECTION('center_axis',(-0.701581060379888,0.712589654511088,4.0174745078604E-18)); #31929=DIRECTION('ref_axis',(-0.712589654511088,-0.701581060379888,1.54074395550979E-33)); #31930=DIRECTION('',(-0.712589654511088,-0.701581060379888,0.)); #31931=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31932=DIRECTION('',(-0.712589654511088,-0.701581060379888,1.54074395550979E-33)); #31933=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31934=DIRECTION('ref_axis',(0.28870952172791,0.957416738971928,5.39777320351023E-18)); #31935=DIRECTION('center_axis',(0.,0.,1.)); #31936=DIRECTION('ref_axis',(0.28870952172791,0.957416738971928,5.39777320351023E-18)); #31937=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31938=DIRECTION('center_axis',(-1.19876039998551E-18,3.61486829776771E-19, 1.)); #31939=DIRECTION('ref_axis',(0.28870952172791,0.957416738971928,-1.86073622002374E-33)); #31940=DIRECTION('center_axis',(4.93038065763132E-32,1.,5.63785129692462E-18)); #31941=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #31942=DIRECTION('',(-1.,4.93038065763132E-32,0.)); #31943=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31944=DIRECTION('',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #31945=DIRECTION('center_axis',(-0.999999999984571,5.55495357845475E-6, 3.13180022355518E-23)); #31946=DIRECTION('ref_axis',(-5.55495357845475E-6,-0.999999999984571,1.54074395550979E-33)); #31947=DIRECTION('',(-5.55495357845475E-6,-0.999999999984571,0.)); #31948=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31949=DIRECTION('',(-5.55495357845475E-6,-0.999999999984571,1.54074395550979E-33)); #31950=DIRECTION('center_axis',(-0.998643478414548,0.0520692137456638,2.93558484245835E-19)); #31951=DIRECTION('ref_axis',(-0.0520692137456638,-0.998643478414548,1.54074395550979E-33)); #31952=DIRECTION('',(-0.0520692137456638,-0.998643478414548,0.)); #31953=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31954=DIRECTION('',(-0.0520692137456638,-0.998643478414548,1.54074395550979E-33)); #31955=DIRECTION('center_axis',(-0.978522952905873,0.206137892286619,1.16217478337342E-18)); #31956=DIRECTION('ref_axis',(-0.206137892286619,-0.978522952905873,1.54074395550979E-33)); #31957=DIRECTION('',(-0.206137892286619,-0.978522952905873,0.)); #31958=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31959=DIRECTION('',(-0.206137892286619,-0.978522952905873,1.54074395550979E-33)); #31960=DIRECTION('center_axis',(-0.918379280742487,0.395701272051167,2.2309049298284E-18)); #31961=DIRECTION('ref_axis',(-0.395701272051167,-0.918379280742487,1.54074395550979E-33)); #31962=DIRECTION('',(-0.395701272051167,-0.918379280742487,0.)); #31963=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31964=DIRECTION('',(-0.395701272051167,-0.918379280742487,1.54074395550979E-33)); #31965=DIRECTION('center_axis',(-0.80061716651425,0.599176228402541,3.37806647638567E-18)); #31966=DIRECTION('ref_axis',(-0.599176228402541,-0.80061716651425,1.54074395550979E-33)); #31967=DIRECTION('',(-0.599176228402541,-0.80061716651425,0.)); #31968=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31969=DIRECTION('',(-0.599176228402541,-0.80061716651425,1.54074395550979E-33)); #31970=DIRECTION('center_axis',(-0.625510598254206,0.780215669844989,4.39873992611649E-18)); #31971=DIRECTION('ref_axis',(-0.780215669844989,-0.625510598254206,7.70371977754894E-34)); #31972=DIRECTION('',(-0.780215669844989,-0.625510598254206,0.)); #31973=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31974=DIRECTION('',(-0.780215669844989,-0.625510598254206,7.70371977754895E-34)); #31975=DIRECTION('center_axis',(-0.422610366700092,0.906311468512682,5.10964928817188E-18)); #31976=DIRECTION('ref_axis',(-0.906311468512682,-0.422610366700091,7.70371977754894E-34)); #31977=DIRECTION('',(-0.906311468512682,-0.422610366700091,0.)); #31978=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31979=DIRECTION('',(-0.906311468512682,-0.422610366700091,7.70371977754894E-34)); #31980=DIRECTION('center_axis',(-0.229796338170029,0.973238738934926,5.48697528652156E-18)); #31981=DIRECTION('ref_axis',(-0.973238738934927,-0.229796338170029,5.77778983316171E-34)); #31982=DIRECTION('',(-0.973238738934927,-0.229796338170029,0.)); #31983=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31984=DIRECTION('',(-0.973238738934927,-0.229796338170029,3.85185988877447E-34)); #31985=DIRECTION('center_axis',(-0.0679209412792221,0.997690706449521,5.62483184328608E-18)); #31986=DIRECTION('ref_axis',(-0.997690706449521,-0.0679209412792221,1.44444745829043E-34)); #31987=DIRECTION('',(-0.997690706449521,-0.0679209412792221,0.)); #31988=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31989=DIRECTION('',(-0.997690706449521,-0.0679209412792221,1.44444745829043E-34)); #31990=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #31991=DIRECTION('ref_axis',(0.0210242013595432,0.999778967050814,5.63660514602539E-18)); #31992=DIRECTION('center_axis',(0.,0.,1.)); #31993=DIRECTION('ref_axis',(0.0210242013595432,0.999778967050814,5.63660514602539E-18)); #31994=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #31995=DIRECTION('center_axis',(-9.11577858263646E-20,1.91694335234766E-21, 1.)); #31996=DIRECTION('ref_axis',(0.0210242013595432,0.999778967050814,-1.25151852579975E-33)); #31997=DIRECTION('center_axis',(0.278585963379862,0.960411297834274,5.41465608107602E-18)); #31998=DIRECTION('ref_axis',(-0.960411297834274,0.278585963379862,-3.85185988877447E-34)); #31999=DIRECTION('',(-0.960411297834274,0.278585963379862,0.)); #32000=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32001=DIRECTION('',(-0.960411297834274,0.278585963379862,-3.85185988877447E-34)); #32002=DIRECTION('center_axis',(0.477148309598074,0.878822786827754,4.95467218848376E-18)); #32003=DIRECTION('ref_axis',(-0.878822786827754,0.477148309598074,-1.15555796663234E-33)); #32004=DIRECTION('',(-0.878822786827754,0.477148309598074,0.)); #32005=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32006=DIRECTION('',(-0.878822786827754,0.477148309598074,-1.15555796663234E-33)); #32007=DIRECTION('center_axis',(0.67659233396229,0.73635780271785,4.1514757930534E-18)); #32008=DIRECTION('ref_axis',(-0.73635780271785,0.67659233396229,-7.70371977754894E-34)); #32009=DIRECTION('',(-0.73635780271785,0.67659233396229,0.)); #32010=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32011=DIRECTION('',(-0.73635780271785,0.67659233396229,-7.70371977754894E-34)); #32012=DIRECTION('center_axis',(0.838134018690253,0.545464358793612,3.07524694265072E-18)); #32013=DIRECTION('ref_axis',(-0.545464358793612,0.838134018690253,-1.54074395550979E-33)); #32014=DIRECTION('',(-0.545464358793612,0.838134018690253,0.)); #32015=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32016=DIRECTION('',(-0.545464358793612,0.838134018690253,-1.54074395550979E-33)); #32017=DIRECTION('center_axis',(0.939495998584054,0.342559875999148,1.93130164117614E-18)); #32018=DIRECTION('ref_axis',(-0.342559875999148,0.939495998584054,-2.31111593326468E-33)); #32019=DIRECTION('',(-0.342559875999148,0.939495998584054,0.)); #32020=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32021=DIRECTION('',(-0.342559875999148,0.939495998584054,-2.31111593326468E-33)); #32022=DIRECTION('center_axis',(0.979124008489247,0.203263808878879,1.14597112850563E-18)); #32023=DIRECTION('ref_axis',(-0.203263808878879,0.979124008489247,-1.54074395550979E-33)); #32024=DIRECTION('',(-0.203263808878879,0.979124008489247,0.)); #32025=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32026=DIRECTION('',(-0.203263808878879,0.979124008489247,-1.54074395550979E-33)); #32027=DIRECTION('center_axis',(0.992708849481325,0.120536882992155,6.79569022104576E-19)); #32028=DIRECTION('ref_axis',(-0.120536882992155,0.992708849481325,-1.54074395550979E-33)); #32029=DIRECTION('',(-0.120536882992155,0.992708849481325,0.)); #32030=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32031=DIRECTION('',(-0.120536882992155,0.992708849481325,-1.54074395550979E-33)); #32032=DIRECTION('center_axis',(0.998911437840812,0.0466469650759906,2.62988652551272E-19)); #32033=DIRECTION('ref_axis',(-0.0466469650759906,0.998911437840812,-1.54074395550979E-33)); #32034=DIRECTION('',(-0.0466469650759906,0.998911437840812,0.)); #32035=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32036=DIRECTION('',(-0.0466469650759906,0.998911437840812,-1.54074395550979E-33)); #32037=DIRECTION('center_axis',(0.999999999628768,2.72481989045747E-5,1.53621293534112E-22)); #32038=DIRECTION('ref_axis',(-2.72481989045747E-5,0.999999999628768,-1.54074395550979E-33)); #32039=DIRECTION('',(-2.72481989045747E-5,0.999999999628768,0.)); #32040=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32041=DIRECTION('',(-2.72481989045747E-5,0.999999999628768,-1.54074395550979E-33)); #32042=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32043=DIRECTION('ref_axis',(0.0399981095727978,0.999199755419607,5.6333396369792E-18)); #32044=DIRECTION('center_axis',(0.,0.,1.)); #32045=DIRECTION('ref_axis',(0.0399981095727978,0.999199755419607,5.6333396369792E-18)); #32046=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32047=DIRECTION('center_axis',(-1.73325335431291E-19,6.93823804571792E-21, 1.)); #32048=DIRECTION('ref_axis',(0.0399981095727978,0.999199755419607,-9.13293640259781E-34)); #32049=DIRECTION('center_axis',(0.355627937285375,0.934627610453569,5.26929148573722E-18)); #32050=DIRECTION('ref_axis',(-0.93462761045357,0.355627937285375,-3.85185988877447E-34)); #32051=DIRECTION('',(-0.93462761045357,0.355627937285375,0.)); #32052=DIRECTION('',(-0.93462761045357,0.355627937285375,-3.85185988877447E-34)); #32053=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #32054=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #32055=DIRECTION('center_axis',(0.534608490989867,0.845099852894046,4.7645473016695E-18)); #32056=DIRECTION('ref_axis',(-0.845099852894046,0.534608490989866,-7.70371977754894E-34)); #32057=DIRECTION('',(-0.845099852894046,0.534608490989866,0.)); #32058=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32059=DIRECTION('',(-0.845099852894046,0.534608490989866,-7.70371977754894E-34)); #32060=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32061=DIRECTION('center_axis',(0.72670143959761,0.68695343196374,3.87294129732359E-18)); #32062=DIRECTION('ref_axis',(-0.68695343196374,0.72670143959761,-1.54074395550979E-33)); #32063=DIRECTION('',(-0.68695343196374,0.72670143959761,0.)); #32064=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32065=DIRECTION('',(-0.68695343196374,0.72670143959761,-7.70371977754894E-34)); #32066=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32067=DIRECTION('ref_axis',(0.945483836610283,-0.325669026326882,-1.83607354244519E-18)); #32068=DIRECTION('center_axis',(0.,0.,1.)); #32069=DIRECTION('ref_axis',(0.945483836610283,-0.325669026326882,-1.83607354244519E-18)); #32070=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32071=DIRECTION('center_axis',(1.33536758246901E-18,3.87684539545535E-18, 1.)); #32072=DIRECTION('ref_axis',(0.945483836610283,-0.325669026326882,5.23724010100931E-34)); #32073=DIRECTION('center_axis',(0.981750661187692,-0.190172656440222,-1.0721651577511E-18)); #32074=DIRECTION('ref_axis',(0.190172656440222,0.981750661187691,-1.54074395550979E-33)); #32075=DIRECTION('',(0.190172656440222,0.981750661187691,0.)); #32076=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32077=DIRECTION('',(0.190172656440221,0.981750661187691,-1.54074395550979E-33)); #32078=DIRECTION('center_axis',(0.926418519307544,-0.37649532146365,-2.12262463639989E-18)); #32079=DIRECTION('ref_axis',(0.37649532146365,0.926418519307544,-1.54074395550979E-33)); #32080=DIRECTION('',(0.37649532146365,0.926418519307544,0.)); #32081=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32082=DIRECTION('',(0.37649532146365,0.926418519307544,-1.54074395550979E-33)); #32083=DIRECTION('center_axis',(0.814916191743729,-0.579578812961532,-3.2675791623252E-18)); #32084=DIRECTION('ref_axis',(0.579578812961532,0.814916191743729,-7.70371977754895E-34)); #32085=DIRECTION('',(0.579578812961532,0.814916191743729,0.)); #32086=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32087=DIRECTION('',(0.579578812961532,0.814916191743729,-7.70371977754895E-34)); #32088=DIRECTION('center_axis',(0.645132670341849,-0.764070571123895,-4.30771626035279E-18)); #32089=DIRECTION('ref_axis',(0.764070571123895,0.645132670341849,-1.54074395550979E-33)); #32090=DIRECTION('',(0.764070571123895,0.645132670341849,0.)); #32091=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32092=DIRECTION('',(0.764070571123895,0.645132670341849,-1.54074395550979E-33)); #32093=DIRECTION('center_axis',(0.443766251870627,-0.896142574427024,-5.05231857546277E-18)); #32094=DIRECTION('ref_axis',(0.896142574427024,0.443766251870627,-1.15555796663234E-33)); #32095=DIRECTION('',(0.896142574427024,0.443766251870627,0.)); #32096=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32097=DIRECTION('',(0.896142574427024,0.443766251870627,-1.15555796663234E-33)); #32098=DIRECTION('center_axis',(0.249069959152256,-0.968485495734393,-5.46017720817883E-18)); #32099=DIRECTION('ref_axis',(0.968485495734393,0.249069959152256,-3.85185988877447E-34)); #32100=DIRECTION('',(0.968485495734393,0.249069959152256,0.)); #32101=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32102=DIRECTION('',(0.968485495734393,0.249069959152256,-3.85185988877447E-34)); #32103=DIRECTION('center_axis',(0.12178390596831,-0.992556638306904,-5.59588673054972E-18)); #32104=DIRECTION('ref_axis',(0.992556638306904,0.12178390596831,-1.92592994438724E-34)); #32105=DIRECTION('',(0.992556638306904,0.12178390596831,0.)); #32106=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32107=DIRECTION('',(0.992556638306904,0.12178390596831,-1.92592994438724E-34)); #32108=DIRECTION('center_axis',(0.0480142348191225,-0.99884665152101,-5.63134888970654E-18)); #32109=DIRECTION('ref_axis',(0.99884665152101,0.0480142348191225,-9.62964972193618E-35)); #32110=DIRECTION('',(0.99884665152101,0.0480142348191225,0.)); #32111=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32112=DIRECTION('',(0.99884665152101,0.0480142348191225,-9.62964972193618E-35)); #32113=DIRECTION('center_axis',(1.41610218885091E-5,-0.999999999899733, -5.63785129635933E-18)); #32114=DIRECTION('ref_axis',(0.999999999899733,1.41610218885091E-5,-1.17549435082229E-38)); #32115=DIRECTION('',(0.999999999899733,1.41610218885091E-5,0.)); #32116=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32117=DIRECTION('',(0.999999999899733,1.41610218885091E-5,-1.17549435082229E-38)); #32118=DIRECTION('center_axis',(1.,-4.93038065763132E-32,1.09537265587024E-33)); #32119=DIRECTION('ref_axis',(4.93038065763132E-32,1.,-1.54074395550979E-33)); #32120=DIRECTION('',(4.93038065763132E-32,1.,0.)); #32121=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32122=DIRECTION('',(4.93038065763132E-32,1.,-1.54074395550979E-33)); #32123=DIRECTION('center_axis',(0.568816380579136,0.822464543421084,4.63693279280108E-18)); #32124=DIRECTION('ref_axis',(-0.822464543421084,0.568816380579136,-7.70371977754894E-34)); #32125=DIRECTION('',(-0.822464543421084,0.568816380579136,0.)); #32126=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32127=DIRECTION('',(-0.822464543421084,0.568816380579136,-7.70371977754894E-34)); #32128=DIRECTION('center_axis',(3.31771214578349E-5,0.999999999449639,5.63785129382177E-18)); #32129=DIRECTION('ref_axis',(-0.999999999449639,3.31771214578349E-5,-7.05296610493373E-38)); #32130=DIRECTION('',(-0.999999999449639,3.31771214578349E-5,0.)); #32131=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32132=DIRECTION('',(-0.999999999449639,3.31771214578349E-5,-7.05296610493373E-38)); #32133=DIRECTION('center_axis',(0.063622499015356,0.997974036545561,5.62642921623549E-18)); #32134=DIRECTION('ref_axis',(-0.997974036545561,0.063622499015356,-9.62964972193618E-35)); #32135=DIRECTION('',(-0.997974036545561,0.063622499015356,0.)); #32136=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32137=DIRECTION('',(-0.997974036545561,0.063622499015356,-9.62964972193618E-35)); #32138=DIRECTION('center_axis',(0.139690351370501,0.990195236170113,5.58257349645025E-18)); #32139=DIRECTION('ref_axis',(-0.990195236170113,0.139690351370501,-1.92592994438724E-34)); #32140=DIRECTION('',(-0.990195236170113,0.139690351370501,0.)); #32141=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32142=DIRECTION('',(-0.990195236170113,0.139690351370501,-1.92592994438724E-34)); #32143=DIRECTION('center_axis',(0.269424793482447,0.963021433124383,5.42937163570651E-18)); #32144=DIRECTION('ref_axis',(-0.963021433124383,0.269424793482447,-1.92592994438724E-34)); #32145=DIRECTION('',(-0.963021433124383,0.269424793482447,0.)); #32146=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32147=DIRECTION('',(-0.963021433124383,0.269424793482447,-3.85185988877447E-34)); #32148=DIRECTION('center_axis',(0.466408548394954,0.884569424061284,4.98707087466378E-18)); #32149=DIRECTION('ref_axis',(-0.884569424061284,0.466408548394954,-3.85185988877447E-34)); #32150=DIRECTION('',(-0.884569424061284,0.466408548394954,0.)); #32151=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32152=DIRECTION('',(-0.884569424061284,0.466408548394954,-3.85185988877447E-34)); #32153=DIRECTION('center_axis',(0.666349380559979,0.74563966031008,4.20380552591762E-18)); #32154=DIRECTION('ref_axis',(-0.74563966031008,0.666349380559979,-7.70371977754894E-34)); #32155=DIRECTION('',(-0.74563966031008,0.666349380559979,0.)); #32156=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32157=DIRECTION('',(-0.74563966031008,0.666349380559979,-7.70371977754894E-34)); #32158=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32159=DIRECTION('ref_axis',(0.955282999572635,-0.295693068446844,-1.66707354943466E-18)); #32160=DIRECTION('center_axis',(0.,0.,1.)); #32161=DIRECTION('ref_axis',(0.955282999572635,-0.295693068446844,-1.66707354943466E-18)); #32162=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32163=DIRECTION('center_axis',(1.22502078524011E-18,3.95762246443517E-18, 1.)); #32164=DIRECTION('ref_axis',(0.955282999572635,-0.295693068446844,2.3975568593466E-34)); #32165=DIRECTION('center_axis',(0.990847671427511,-0.134984784426543,-7.61024141944276E-19)); #32166=DIRECTION('ref_axis',(0.134984784426543,0.99084767142751,-1.54074395550979E-33)); #32167=DIRECTION('',(0.134984784426543,0.99084767142751,0.)); #32168=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32169=DIRECTION('',(0.134984784426543,0.990847671427511,-1.54074395550979E-33)); #32170=DIRECTION('center_axis',(0.950265758416528,-0.311440184274702,-1.75585344682757E-18)); #32171=DIRECTION('ref_axis',(0.311440184274702,0.950265758416528,-7.70371977754894E-34)); #32172=DIRECTION('',(0.311440184274702,0.950265758416528,0.)); #32173=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32174=DIRECTION('',(0.311440184274702,0.950265758416528,-7.70371977754894E-34)); #32175=DIRECTION('center_axis',(0.859077077299109,-0.511846241814102,-2.88571299823763E-18)); #32176=DIRECTION('ref_axis',(0.511846241814102,0.859077077299109,-2.31111593326468E-33)); #32177=DIRECTION('',(0.511846241814102,0.859077077299109,0.)); #32178=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32179=DIRECTION('',(0.511846241814102,0.859077077299109,-1.54074395550979E-33)); #32180=DIRECTION('center_axis',(0.707180136316587,-0.707033418445871,-3.9861492751541E-18)); #32181=DIRECTION('ref_axis',(0.707033418445871,0.707180136316587,-7.70371977754894E-34)); #32182=DIRECTION('',(0.707033418445871,0.707180136316587,0.)); #32183=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32184=DIRECTION('',(0.707033418445871,0.707180136316587,-7.70371977754894E-34)); #32185=DIRECTION('center_axis',(0.511804144046125,-0.859102158149549,-4.84349021651418E-18)); #32186=DIRECTION('ref_axis',(0.859102158149549,0.511804144046125,0.)); #32187=DIRECTION('',(0.859102158149549,0.511804144046125,0.)); #32188=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32189=DIRECTION('',(0.859102158149549,0.511804144046125,0.)); #32190=DIRECTION('center_axis',(0.311310638888563,-0.950308205854708,-5.35769635085608E-18)); #32191=DIRECTION('ref_axis',(0.950308205854708,0.311310638888563,-7.70371977754894E-34)); #32192=DIRECTION('',(0.950308205854708,0.311310638888563,0.)); #32193=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32194=DIRECTION('',(0.950308205854708,0.311310638888563,-7.70371977754894E-34)); #32195=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32196=DIRECTION('ref_axis',(-0.0109455516568683,-0.999940095655198,-5.63751356513659E-18)); #32197=DIRECTION('center_axis',(0.,0.,1.)); #32198=DIRECTION('ref_axis',(-0.0109455516568683,-0.999940095655198,-5.63751356513659E-18)); #32199=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32200=DIRECTION('center_axis',(5.71089453770781E-21,4.85853227312281E-18, 1.)); #32201=DIRECTION('ref_axis',(-0.0109455516568683,-0.999940095655198,4.85830373482146E-18)); #32202=DIRECTION('center_axis',(-0.171064851681098,-0.985259771085435,-5.55474807822168E-18)); #32203=DIRECTION('ref_axis',(0.985259771085435,-0.171064851681098,1.92592994438724E-34)); #32204=DIRECTION('',(0.985259771085435,-0.171064851681098,0.)); #32205=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32206=DIRECTION('',(0.985259771085435,-0.171064851681098,1.92592994438724E-34)); #32207=DIRECTION('center_axis',(-0.354385899962059,-0.935099264200374,-5.27195059942534E-18)); #32208=DIRECTION('ref_axis',(0.935099264200374,-0.354385899962059,3.85185988877447E-34)); #32209=DIRECTION('',(0.935099264200374,-0.354385899962059,0.)); #32210=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32211=DIRECTION('',(0.935099264200374,-0.354385899962059,3.85185988877447E-34)); #32212=DIRECTION('center_axis',(-0.557381823205269,-0.830256287636758,-4.68086148803272E-18)); #32213=DIRECTION('ref_axis',(0.830256287636757,-0.557381823205269,7.70371977754894E-34)); #32214=DIRECTION('',(0.830256287636757,-0.557381823205269,0.)); #32215=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32216=DIRECTION('',(0.830256287636757,-0.557381823205269,7.70371977754894E-34)); #32217=DIRECTION('center_axis',(-0.746308908855014,-0.665599739005086,-3.75255235178251E-18)); #32218=DIRECTION('ref_axis',(0.665599739005086,-0.746308908855014,7.70371977754894E-34)); #32219=DIRECTION('',(0.665599739005086,-0.746308908855014,0.)); #32220=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32221=DIRECTION('',(0.665599739005086,-0.746308908855014,7.70371977754894E-34)); #32222=DIRECTION('center_axis',(-0.885090190845893,-0.46541954628956,-2.62396619266267E-18)); #32223=DIRECTION('ref_axis',(0.46541954628956,-0.885090190845893,7.70371977754894E-34)); #32224=DIRECTION('',(0.46541954628956,-0.885090190845893,0.)); #32225=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32226=DIRECTION('',(0.46541954628956,-0.885090190845893,7.70371977754894E-34)); #32227=DIRECTION('center_axis',(-0.963303217531008,-0.268415556729501,-1.51328699462216E-18)); #32228=DIRECTION('ref_axis',(0.268415556729501,-0.963303217531008,1.54074395550979E-33)); #32229=DIRECTION('',(0.268415556729501,-0.963303217531008,0.)); #32230=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32231=DIRECTION('',(0.268415556729501,-0.963303217531008,1.54074395550979E-33)); #32232=DIRECTION('center_axis',(-0.994989422103528,-0.0999802475596449, -5.6367376837099E-19)); #32233=DIRECTION('ref_axis',(0.0999802475596449,-0.994989422103528,1.54074395550979E-33)); #32234=DIRECTION('',(0.0999802475596449,-0.994989422103528,0.)); #32235=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32236=DIRECTION('',(0.0999802475596448,-0.994989422103528,1.54074395550979E-33)); #32237=DIRECTION('center_axis',(-0.999999999717988,-2.37491891207773E-5, -1.33894396686578E-22)); #32238=DIRECTION('ref_axis',(2.37491891207773E-5,-0.999999999717988,1.54074395550979E-33)); #32239=DIRECTION('',(2.37491891207773E-5,-0.999999999717988,0.)); #32240=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32241=DIRECTION('',(2.37491891207773E-5,-0.999999999717988,1.54074395550979E-33)); #32242=DIRECTION('center_axis',(-0.998519322636514,0.0543981830718416,3.06688866981924E-19)); #32243=DIRECTION('ref_axis',(-0.0543981830718416,-0.998519322636514,7.70371977754894E-34)); #32244=DIRECTION('',(-0.0543981830718416,-0.998519322636514,0.)); #32245=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32246=DIRECTION('',(-0.0543981830718416,-0.998519322636514,7.70371977754894E-34)); #32247=DIRECTION('center_axis',(-0.978024170334474,0.208491539976001,1.17544429905151E-18)); #32248=DIRECTION('ref_axis',(-0.208491539976001,-0.978024170334474,1.54074395550979E-33)); #32249=DIRECTION('',(-0.208491539976001,-0.978024170334474,0.)); #32250=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32251=DIRECTION('',(-0.208491539976001,-0.978024170334474,1.54074395550979E-33)); #32252=DIRECTION('center_axis',(-0.917526229527274,0.397675267183494,2.24203402084531E-18)); #32253=DIRECTION('ref_axis',(-0.397675267183494,-0.917526229527274,1.54074395550979E-33)); #32254=DIRECTION('',(-0.397675267183494,-0.917526229527274,0.)); #32255=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32256=DIRECTION('',(-0.397675267183494,-0.917526229527274,1.54074395550979E-33)); #32257=DIRECTION('center_axis',(-0.799301496036453,0.600930210951228,3.38795516917257E-18)); #32258=DIRECTION('ref_axis',(-0.600930210951228,-0.799301496036453,1.54074395550979E-33)); #32259=DIRECTION('',(-0.600930210951228,-0.799301496036453,0.)); #32260=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32261=DIRECTION('',(-0.600930210951228,-0.799301496036453,1.54074395550979E-33)); #32262=DIRECTION('center_axis',(-0.623925510338488,0.781483817841968,4.40588955594594E-18)); #32263=DIRECTION('ref_axis',(-0.781483817841968,-0.623925510338488,7.70371977754894E-34)); #32264=DIRECTION('',(-0.781483817841968,-0.623925510338488,0.)); #32265=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32266=DIRECTION('',(-0.781483817841968,-0.623925510338488,7.70371977754895E-34)); #32267=DIRECTION('center_axis',(-0.421252385385598,0.906943453478189,5.1132123254293E-18)); #32268=DIRECTION('ref_axis',(-0.906943453478189,-0.421252385385598,1.15555796663234E-33)); #32269=DIRECTION('',(-0.906943453478189,-0.421252385385598,0.)); #32270=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32271=DIRECTION('',(-0.906943453478189,-0.421252385385598,1.15555796663234E-33)); #32272=DIRECTION('center_axis',(-0.228704732332548,0.973495837386425,5.48842476935978E-18)); #32273=DIRECTION('ref_axis',(-0.973495837386425,-0.228704732332548,3.85185988877447E-34)); #32274=DIRECTION('',(-0.973495837386425,-0.228704732332548,0.)); #32275=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32276=DIRECTION('',(-0.973495837386425,-0.228704732332548,3.85185988877447E-34)); #32277=DIRECTION('center_axis',(-0.0592154123378444,0.998245227858195,5.62795815252914E-18)); #32278=DIRECTION('ref_axis',(-0.998245227858195,-0.0592154123378444,9.62964972193618E-35)); #32279=DIRECTION('',(-0.998245227858195,-0.0592154123378444,0.)); #32280=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32281=DIRECTION('',(-0.998245227858195,-0.0592154123378444,9.62964972193618E-35)); #32282=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32283=DIRECTION('ref_axis',(0.0203503944781002,0.999792909279009,5.6366837502347E-18)); #32284=DIRECTION('center_axis',(0.,0.,1.)); #32285=DIRECTION('ref_axis',(0.0203503944781002,0.999792909279009,5.6366837502347E-18)); #32286=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32287=DIRECTION('center_axis',(-8.82374906658247E-20,1.79603968596193E-21, 1.)); #32288=DIRECTION('ref_axis',(0.0203503944781002,0.999792909279009,-1.88845918295839E-33)); #32289=DIRECTION('center_axis',(0.269346293508507,0.963043391635719,5.42949543452813E-18)); #32290=DIRECTION('ref_axis',(-0.963043391635719,0.269346293508507,-3.85185988877447E-34)); #32291=DIRECTION('',(-0.963043391635719,0.269346293508507,0.)); #32292=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32293=DIRECTION('',(-0.963043391635719,0.269346293508507,-3.85185988877447E-34)); #32294=DIRECTION('center_axis',(0.466492922945075,0.884524930593909,4.98682002711103E-18)); #32295=DIRECTION('ref_axis',(-0.884524930593909,0.466492922945075,-3.85185988877447E-34)); #32296=DIRECTION('',(-0.884524930593909,0.466492922945075,0.)); #32297=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32298=DIRECTION('',(-0.884524930593909,0.466492922945075,-3.85185988877447E-34)); #32299=DIRECTION('center_axis',(0.666298990149553,0.745684689212328,4.20405939217256E-18)); #32300=DIRECTION('ref_axis',(-0.745684689212328,0.666298990149553,-7.70371977754894E-34)); #32301=DIRECTION('',(-0.745684689212328,0.666298990149553,0.)); #32302=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32303=DIRECTION('',(-0.745684689212328,0.666298990149553,-7.70371977754894E-34)); #32304=DIRECTION('center_axis',(0.830383641362809,0.557192074743567,3.14136606122914E-18)); #32305=DIRECTION('ref_axis',(-0.557192074743567,0.830383641362809,-1.54074395550979E-33)); #32306=DIRECTION('',(-0.557192074743567,0.830383641362809,0.)); #32307=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32308=DIRECTION('',(-0.557192074743567,0.830383641362809,-1.54074395550979E-33)); #32309=DIRECTION('center_axis',(0.935051310317866,0.354512407501969,1.99868823641085E-18)); #32310=DIRECTION('ref_axis',(-0.354512407501969,0.935051310317866,-1.54074395550979E-33)); #32311=DIRECTION('',(-0.354512407501969,0.935051310317866,0.)); #32312=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32313=DIRECTION('',(-0.354512407501969,0.935051310317866,-7.70371977754894E-34)); #32314=DIRECTION('center_axis',(0.985209924156235,0.171351700732925,9.66055408207364E-19)); #32315=DIRECTION('ref_axis',(-0.171351700732925,0.985209924156235,-1.54074395550979E-33)); #32316=DIRECTION('',(-0.171351700732925,0.985209924156235,0.)); #32317=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32318=DIRECTION('',(-0.171351700732925,0.985209924156235,-1.54074395550979E-33)); #32319=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32320=DIRECTION('ref_axis',(0.9995632879299,-0.0295505232232336,-1.66601455678907E-19)); #32321=DIRECTION('center_axis',(0.,0.,1.)); #32322=DIRECTION('ref_axis',(0.9995632879299,-0.0295505232232336,-1.66601455678907E-19)); #32323=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32324=DIRECTION('center_axis',(1.28098999086398E-19,4.33302164364581E-18, 1.)); #32325=DIRECTION('ref_axis',(0.9995632879299,-0.0295505232232336,1.97035414452989E-35)); #32326=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32327=DIRECTION('ref_axis',(0.0257338319421463,0.999668830110039,5.635984210331E-18)); #32328=DIRECTION('center_axis',(0.,0.,1.)); #32329=DIRECTION('ref_axis',(0.0257338319421463,0.999668830110039,5.635984210331E-18)); #32330=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32331=DIRECTION('center_axis',(-1.11565746536344E-19,2.87196528029407E-21, 1.)); #32332=DIRECTION('ref_axis',(0.0257338319421463,0.999668830110039,-1.80087847498212E-33)); #32333=DIRECTION('center_axis',(0.332671994750071,0.943042599201653,5.31673394096421E-18)); #32334=DIRECTION('ref_axis',(-0.943042599201653,0.332671994750071,-3.85185988877447E-34)); #32335=DIRECTION('',(-0.943042599201653,0.332671994750071,0.)); #32336=DIRECTION('',(-0.943042599201653,0.332671994750071,0.)); #32337=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #32338=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #32339=DIRECTION('center_axis',(0.837406255980736,-0.546580975194276,-3.08154225987337E-18)); #32340=DIRECTION('ref_axis',(0.546580975194276,0.837406255980736,-1.54074395550979E-33)); #32341=DIRECTION('',(0.546580975194276,0.837406255980736,0.)); #32342=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32343=DIRECTION('',(0.546580975194276,0.837406255980736,-1.54074395550979E-33)); #32344=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32345=DIRECTION('center_axis',(0.837346141590644,-0.546673064237905,-3.08206144420743E-18)); #32346=DIRECTION('ref_axis',(0.546673064237905,0.837346141590644,-1.54074395550979E-33)); #32347=DIRECTION('',(0.546673064237905,0.837346141590644,0.)); #32348=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32349=DIRECTION('',(0.546673064237905,0.837346141590644,-1.54074395550979E-33)); #32350=DIRECTION('center_axis',(0.842458060653363,-0.538761928907541,-3.03745963962499E-18)); #32351=DIRECTION('ref_axis',(0.538761928907541,0.842458060653363,-1.54074395550979E-33)); #32352=DIRECTION('',(0.538761928907541,0.842458060653363,0.)); #32353=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32354=DIRECTION('',(0.538761928907541,0.842458060653363,-7.70371977754894E-34)); #32355=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32356=DIRECTION('ref_axis',(0.836172193805206,-0.548467011138308,-3.09217545006648E-18)); #32357=DIRECTION('center_axis',(0.,0.,1.)); #32358=DIRECTION('ref_axis',(0.836172193805206,-0.548467011138308,-3.09217545006648E-18)); #32359=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32360=DIRECTION('center_axis',(1.98891625362514E-18,3.03222697685492E-18, 1.)); #32361=DIRECTION('ref_axis',(0.836172193805206,-0.548467011138308,4.95457418650198E-34)); #32362=DIRECTION('center_axis',(0.840757583457721,-0.541411752604553,-3.05239895159181E-18)); #32363=DIRECTION('ref_axis',(0.541411752604553,0.840757583457721,-1.54074395550979E-33)); #32364=DIRECTION('',(0.541411752604553,0.840757583457721,0.)); #32365=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32366=DIRECTION('',(0.541411752604553,0.840757583457721,-1.54074395550979E-33)); #32367=DIRECTION('center_axis',(0.846075389433205,-0.533063256467233,-3.00533137181665E-18)); #32368=DIRECTION('ref_axis',(0.533063256467233,0.846075389433205,-1.54074395550979E-33)); #32369=DIRECTION('',(0.533063256467233,0.846075389433205,0.)); #32370=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32371=DIRECTION('',(0.533063256467233,0.846075389433205,-1.54074395550979E-33)); #32372=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32373=DIRECTION('ref_axis',(0.838382514021396,-0.545082342571436,-3.07309319199708E-18)); #32374=DIRECTION('center_axis',(0.,0.,1.)); #32375=DIRECTION('ref_axis',(0.838382514021396,-0.545082342571436,-3.07309319199708E-18)); #32376=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32377=DIRECTION('center_axis',(7.40468491020496E-19,3.85538607802494E-18, 1.)); #32378=DIRECTION('ref_axis',(0.838382514021396,-0.545082342571436,1.48070703987174E-18)); #32379=DIRECTION('center_axis',(0.860925315697068,-0.508731364073323,-2.86815178072702E-18)); #32380=DIRECTION('ref_axis',(0.508731364073323,0.860925315697068,-1.54074395550979E-33)); #32381=DIRECTION('',(0.508731364073323,0.860925315697068,0.)); #32382=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32383=DIRECTION('',(0.508731364073323,0.860925315697068,-1.54074395550979E-33)); #32384=DIRECTION('center_axis',(0.401568970777621,-0.915828784057698,-5.16330649796059E-18)); #32385=DIRECTION('ref_axis',(0.915828784057698,0.401568970777621,-7.70371977754894E-34)); #32386=DIRECTION('',(0.915828784057698,0.401568970777621,0.)); #32387=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32388=DIRECTION('',(0.915828784057698,0.401568970777621,-7.70371977754894E-34)); #32389=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32390=DIRECTION('ref_axis',(-0.750782170117514,-0.660549871723276,-3.72408195097846E-18)); #32391=DIRECTION('center_axis',(0.,0.,1.)); #32392=DIRECTION('ref_axis',(-0.750782170117514,-0.660549871723276,-3.72408195097846E-18)); #32393=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32394=DIRECTION('center_axis',(-2.15074948373161E-18,2.44454572455296E-18, 1.)); #32395=DIRECTION('ref_axis',(-0.750782170117514,-0.660549871723276,1.56397213474243E-33)); #32396=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32397=DIRECTION('ref_axis',(-0.831749125829117,-0.555151683490647,-3.12986263875764E-18)); #32398=DIRECTION('center_axis',(0.,0.,1.)); #32399=DIRECTION('ref_axis',(-0.831749125829117,-0.555151683490647,-3.12986263875764E-18)); #32400=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32401=DIRECTION('center_axis',(-2.00250808750144E-18,3.00023291071065E-18, 1.)); #32402=DIRECTION('ref_axis',(-0.831749125829117,-0.555151683490647,0.)); #32403=DIRECTION('center_axis',(-0.833879282182635,-0.551946865872588,-3.11179435359325E-18)); #32404=DIRECTION('ref_axis',(0.551946865872588,-0.833879282182635,1.54074395550979E-33)); #32405=DIRECTION('',(0.551946865872588,-0.833879282182635,0.)); #32406=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32407=DIRECTION('',(0.551946865872588,-0.833879282182635,7.70371977754894E-34)); #32408=DIRECTION('center_axis',(-0.826289129529916,-0.563246193436489,-3.17549828215377E-18)); #32409=DIRECTION('ref_axis',(0.563246193436489,-0.826289129529915,1.54074395550979E-33)); #32410=DIRECTION('',(0.563246193436489,-0.826289129529915,0.)); #32411=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32412=DIRECTION('',(0.563246193436489,-0.826289129529915,1.54074395550979E-33)); #32413=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32414=DIRECTION('ref_axis',(-0.835948869445114,-0.548807332015012,-3.09409412856258E-18)); #32415=DIRECTION('center_axis',(0.,0.,1.)); #32416=DIRECTION('ref_axis',(-0.835948869445114,-0.548807332015012,-3.09409412856258E-18)); #32417=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32418=DIRECTION('center_axis',(-5.85386870323157E-19,3.9524973782802E-18, 1.)); #32419=DIRECTION('ref_axis',(-0.835948869445114,-0.548807332015012,1.67980604853563E-18)); #32420=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32421=DIRECTION('ref_axis',(-0.831514088628333,-0.555503663725625,-3.13184705098189E-18)); #32422=DIRECTION('center_axis',(0.,0.,1.)); #32423=DIRECTION('ref_axis',(-0.831514088628333,-0.555503663725625,-3.13184705098189E-18)); #32424=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32425=DIRECTION('center_axis',(-2.00321149716965E-18,2.99853752759684E-18, 1.)); #32426=DIRECTION('ref_axis',(-0.831514088628333,-0.555503663725625,7.75523542573487E-34)); #32427=DIRECTION('center_axis',(-0.837105113274734,-0.547042072723201,-3.08414185917483E-18)); #32428=DIRECTION('ref_axis',(0.547042072723201,-0.837105113274734,1.54074395550979E-33)); #32429=DIRECTION('',(0.547042072723201,-0.837105113274734,0.)); #32430=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32431=DIRECTION('',(0.547042072723201,-0.837105113274734,1.54074395550979E-33)); #32432=DIRECTION('center_axis',(-0.837315650839069,-0.546719764468001,-3.08232473316024E-18)); #32433=DIRECTION('ref_axis',(0.546719764468001,-0.837315650839069,1.54074395550979E-33)); #32434=DIRECTION('',(0.546719764468001,-0.837315650839069,0.)); #32435=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32436=DIRECTION('',(0.546719764468,-0.837315650839069,7.70371977754894E-34)); #32437=DIRECTION('center_axis',(-0.837316682790503,-0.54671818400407,-3.08231582273962E-18)); #32438=DIRECTION('ref_axis',(0.54671818400407,-0.837316682790503,7.70371977754894E-34)); #32439=DIRECTION('',(0.54671818400407,-0.837316682790503,0.)); #32440=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32441=DIRECTION('',(0.54671818400407,-0.837316682790503,7.70371977754894E-34)); #32442=DIRECTION('center_axis',(0.000660203415951929,0.999999782065701, 5.63785006824345E-18)); #32443=DIRECTION('ref_axis',(-0.999999782065701,0.000660203415951929,-7.52316384526264E-37)); #32444=DIRECTION('',(-0.999999782065701,0.000660203415951929,0.)); #32445=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32446=DIRECTION('',(-0.999999782065701,0.000660203415951929,-7.52316384526264E-37)); #32447=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32448=DIRECTION('ref_axis',(0.221650263316555,0.975126228122135,5.49761666988359E-18)); #32449=DIRECTION('center_axis',(0.,0.,1.)); #32450=DIRECTION('ref_axis',(0.221650263316555,0.975126228122135,5.49761666988359E-18)); #32451=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32452=DIRECTION('center_axis',(-9.37344755763973E-19,2.13062376892046E-19, 1.)); #32453=DIRECTION('ref_axis',(0.221650263316555,0.975126228122135,-1.49015829896144E-33)); #32454=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32455=DIRECTION('ref_axis',(0.39573566445246,0.918364461355278,5.17760226950134E-18)); #32456=DIRECTION('center_axis',(0.,0.,1.)); #32457=DIRECTION('ref_axis',(0.39573566445246,0.918364461355278,5.17760226950134E-18)); #32458=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32459=DIRECTION('center_axis',(1.56594606938393E-18,7.97082338131677E-18, 1.)); #32460=DIRECTION('ref_axis',(0.39573566445246,0.918364461355278,-7.9398216294054E-18)); #32461=DIRECTION('center_axis',(0.397056232897298,0.917794284094975,5.17438769489486E-18)); #32462=DIRECTION('ref_axis',(-0.917794284094975,0.397056232897298,-3.85185988877447E-34)); #32463=DIRECTION('',(-0.917794284094975,0.397056232897298,0.)); #32464=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32465=DIRECTION('',(-0.917794284094975,0.397056232897298,-3.85185988877447E-34)); #32466=DIRECTION('center_axis',(0.395952265886815,0.918271094578882,5.17707588149994E-18)); #32467=DIRECTION('ref_axis',(-0.918271094578882,0.395952265886815,-3.85185988877447E-34)); #32468=DIRECTION('',(-0.918271094578882,0.395952265886815,0.)); #32469=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32470=DIRECTION('',(-0.918271094578882,0.395952265886815,-3.85185988877447E-34)); #32471=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32472=DIRECTION('ref_axis',(0.40026106937622,0.916401154703444,5.16653343854803E-18)); #32473=DIRECTION('center_axis',(0.,0.,1.)); #32474=DIRECTION('ref_axis',(0.40026106937622,0.916401154703444,5.16653343854803E-18)); #32475=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32476=DIRECTION('center_axis',(9.71318164389444E-18,2.65752214543436E-17, 1.)); #32477=DIRECTION('ref_axis',(0.40026106937622,0.916401154703444,-2.82413720990909E-17)); #32478=DIRECTION('center_axis',(0.398171072740619,0.917311177754084,5.17166401318432E-18)); #32479=DIRECTION('ref_axis',(-0.917311177754084,0.398171072740619,-3.85185988877447E-34)); #32480=DIRECTION('',(-0.917311177754084,0.398171072740619,0.)); #32481=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32482=DIRECTION('',(-0.917311177754084,0.398171072740619,-3.85185988877447E-34)); #32483=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32484=DIRECTION('ref_axis',(-0.391405248250984,-0.920218415182822,-5.1880545854924E-18)); #32485=DIRECTION('center_axis',(0.,0.,-1.)); #32486=DIRECTION('ref_axis',(-0.391405248250984,-0.920218415182822,-5.1880545854924E-18)); #32487=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32488=DIRECTION('center_axis',(1.35584855543244E-17,2.75400456568832E-17, -1.)); #32489=DIRECTION('ref_axis',(-0.391405248250984,-0.920218415182822,-3.06497195727373E-17)); #32490=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32491=DIRECTION('ref_axis',(-0.414153366378357,-0.910207113308545,-5.1316123542366E-18)); #32492=DIRECTION('center_axis',(0.,0.,-1.)); #32493=DIRECTION('ref_axis',(-0.414153366378357,-0.910207113308545,-5.1316123542366E-18)); #32494=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32495=DIRECTION('center_axis',(1.63482656265835E-18,-7.43862484120358E-19, -1.)); #32496=DIRECTION('ref_axis',(-0.414153366378357,-0.910207113308545,1.90293439435915E-33)); #32497=DIRECTION('center_axis',(0.543050020869533,0.839700348239537,4.73410569735033E-18)); #32498=DIRECTION('ref_axis',(-0.839700348239537,0.543050020869533,-7.70371977754894E-34)); #32499=DIRECTION('',(-0.839700348239537,0.543050020869533,0.)); #32500=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32501=DIRECTION('',(-0.839700348239537,0.543050020869533,-7.70371977754894E-34)); #32502=DIRECTION('center_axis',(0.870981991817195,0.49131493965699,2.76996056974361E-18)); #32503=DIRECTION('ref_axis',(-0.49131493965699,0.870981991817195,-1.54074395550979E-33)); #32504=DIRECTION('',(-0.49131493965699,0.870981991817195,0.)); #32505=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32506=DIRECTION('',(-0.49131493965699,0.870981991817195,-1.54074395550979E-33)); #32507=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32508=DIRECTION('ref_axis',(0.995843019932201,0.0910861111932735,5.13529950122818E-19)); #32509=DIRECTION('center_axis',(0.,0.,1.)); #32510=DIRECTION('ref_axis',(0.995843019932201,0.0910861111932735,5.13529950122818E-19)); #32511=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32512=DIRECTION('center_axis',(-3.93380935658414E-19,4.3008275775282E-18, 1.)); #32513=DIRECTION('ref_axis',(0.995843019932201,0.0910861111932735,-2.49480705519184E-34)); #32514=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32515=DIRECTION('ref_axis',(0.0169121132072464,-0.99985697998607,-5.6370449713536E-18)); #32516=DIRECTION('center_axis',(0.,0.,1.)); #32517=DIRECTION('ref_axis',(0.0169121132072464,-0.99985697998607,-5.6370449713536E-18)); #32518=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32519=DIRECTION('center_axis',(7.33341097768246E-20,1.2404121702659E-21, 1.)); #32520=DIRECTION('ref_axis',(0.0169121132072464,-0.99985697998607,1.02334750062101E-33)); #32521=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32522=DIRECTION('ref_axis',(-0.318456541980652,-0.947937461476086,-5.34433044658638E-18)); #32523=DIRECTION('center_axis',(0.,0.,1.)); #32524=DIRECTION('ref_axis',(-0.318456541980652,-0.947937461476086,-5.34433044658638E-18)); #32525=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32526=DIRECTION('center_axis',(-1.30918230247832E-18,4.39815584690902E-19, 1.)); #32527=DIRECTION('ref_axis',(-0.318456541980652,-0.947937461476086,1.87469899350026E-33)); #32528=DIRECTION('center_axis',(0.993572365342869,-0.113198740394837,-6.38197665345261E-19)); #32529=DIRECTION('ref_axis',(0.113198740394837,0.993572365342869,-1.54074395550979E-33)); #32530=DIRECTION('',(0.113198740394837,0.993572365342869,0.)); #32531=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32532=DIRECTION('',(0.113198740394836,0.993572365342869,-1.54074395550979E-33)); #32533=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32534=DIRECTION('ref_axis',(-0.840930684593973,-0.541142849632435,-3.05088291662171E-18)); #32535=DIRECTION('center_axis',(0.,0.,-1.)); #32536=DIRECTION('ref_axis',(-0.840930684593973,-0.541142849632435,-3.05088291662171E-18)); #32537=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32538=DIRECTION('center_axis',(7.46311892081892E-19,-3.85655347819319E-18, -1.)); #32539=DIRECTION('ref_axis',(-0.840930684593973,-0.541142849632435,1.45934976862029E-18)); #32540=DIRECTION('center_axis',(0.928103296611915,0.372322804590447,2.09910060673487E-18)); #32541=DIRECTION('ref_axis',(-0.372322804590447,0.928103296611915,-1.54074395550979E-33)); #32542=DIRECTION('',(-0.372322804590447,0.928103296611915,0.)); #32543=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32544=DIRECTION('',(-0.372322804590447,0.928103296611914,-1.54074395550979E-33)); #32545=DIRECTION('center_axis',(0.955851578325221,0.293849894015949,1.65668200607898E-18)); #32546=DIRECTION('ref_axis',(-0.293849894015949,0.955851578325221,-2.31111593326468E-33)); #32547=DIRECTION('',(-0.293849894015949,0.955851578325221,0.)); #32548=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32549=DIRECTION('',(-0.293849894015949,0.955851578325221,-1.54074395550979E-33)); #32550=DIRECTION('center_axis',(-0.675787495027202,0.737096507633064,4.15564050151768E-18)); #32551=DIRECTION('ref_axis',(-0.737096507633064,-0.675787495027202,1.54074395550979E-33)); #32552=DIRECTION('',(-0.737096507633064,-0.675787495027202,0.)); #32553=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32554=DIRECTION('',(-0.737096507633064,-0.675787495027202,1.54074395550979E-33)); #32555=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32556=DIRECTION('ref_axis',(0.830981858678596,-0.556299515141857,-3.13633394292106E-18)); #32557=DIRECTION('center_axis',(0.,0.,-1.)); #32558=DIRECTION('ref_axis',(0.830981858678596,-0.556299515141857,-3.13633394292106E-18)); #32559=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32560=DIRECTION('center_axis',(-2.0047973917887E-18,-2.99470018858053E-18, -1.)); #32561=DIRECTION('ref_axis',(0.830981858678596,-0.556299515141857,1.38223558284241E-33)); #32562=DIRECTION('center_axis',(4.93875837797349E-7,0.999999999999878,5.63785129692394E-18)); #32563=DIRECTION('ref_axis',(-0.999999999999878,4.93875837797349E-7,-7.3468396926393E-40)); #32564=DIRECTION('',(-0.999999999999878,4.93875837797349E-7,0.)); #32565=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32566=DIRECTION('',(-0.999999999999878,4.93875837797349E-7,-7.3468396926393E-40)); #32567=DIRECTION('center_axis',(0.709922550307675,0.704279754475909,3.97062452716976E-18)); #32568=DIRECTION('ref_axis',(-0.704279754475909,0.709922550307675,-7.70371977754894E-34)); #32569=DIRECTION('',(-0.704279754475909,0.709922550307675,0.)); #32570=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32571=DIRECTION('',(-0.704279754475909,0.709922550307675,-7.70371977754894E-34)); #32572=DIRECTION('center_axis',(0.95097114629825,-0.3092796128234,-1.74367246626875E-18)); #32573=DIRECTION('ref_axis',(0.3092796128234,0.95097114629825,-7.70371977754894E-34)); #32574=DIRECTION('',(0.3092796128234,0.95097114629825,0.)); #32575=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32576=DIRECTION('',(0.3092796128234,0.95097114629825,-7.70371977754894E-34)); #32577=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32578=DIRECTION('ref_axis',(0.904720962602282,-0.426004671134016,-2.40175098764886E-18)); #32579=DIRECTION('center_axis',(0.,0.,1.)); #32580=DIRECTION('ref_axis',(0.904720962602282,-0.426004671134016,-2.40175098764886E-18)); #32581=DIRECTION('center_axis',(1.67147266575127E-18,3.54976473637345E-18, 1.)); #32582=DIRECTION('ref_axis',(0.904720962602282,-0.426004671134016,5.8917553680335E-34)); #32583=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #32584=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #32585=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32586=DIRECTION('ref_axis',(0.999285695999341,0.0377901808822422,2.13055420297966E-19)); #32587=DIRECTION('center_axis',(0.,0.,-1.)); #32588=DIRECTION('ref_axis',(0.999285695999341,0.0377901808822422,2.13055420297966E-19)); #32589=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32590=DIRECTION('center_axis',(1.63771718429911E-19,-4.33061530311818E-18, -1.)); #32591=DIRECTION('ref_axis',(0.999285695999341,0.0377901808822422,-7.41215826379407E-35)); #32592=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32593=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32594=DIRECTION('ref_axis',(0.0840192018515021,0.996464135691916,5.61791661974954E-18)); #32595=DIRECTION('center_axis',(0.,0.,-1.)); #32596=DIRECTION('ref_axis',(0.0840192018515021,0.996464135691916,5.61791661974954E-18)); #32597=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32598=DIRECTION('center_axis',(-5.47601863138127E-18,-6.92821551382029E-17, -1.)); #32599=DIRECTION('ref_axis',(0.0840192018515021,0.996464135691916,-6.94972735533952E-17)); #32600=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32601=DIRECTION('ref_axis',(-0.084124170028108,0.996455279486783,5.61786668978194E-18)); #32602=DIRECTION('center_axis',(0.,0.,-1.)); #32603=DIRECTION('ref_axis',(-0.084124170028108,0.996455279486783,5.61786668978194E-18)); #32604=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32605=DIRECTION('center_axis',(-3.63537209697286E-19,-3.06910572603631E-20, -1.)); #32606=DIRECTION('ref_axis',(-0.084124170028108,0.996455279486783,-2.39098967178555E-33)); #32607=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32608=DIRECTION('ref_axis',(-0.992302862477319,0.123834684637702,6.9816153738892E-19)); #32609=DIRECTION('center_axis',(0.,0.,-1.)); #32610=DIRECTION('ref_axis',(-0.992302862477319,0.123834684637702,6.9816153738892E-19)); #32611=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32612=DIRECTION('center_axis',(-5.32913609248147E-19,-4.27030360239683E-18, -1.)); #32613=DIRECTION('ref_axis',(-0.992302862477319,0.123834684637702,-1.57947389115863E-34)); #32614=DIRECTION('center_axis',(1.,-4.93038065763132E-32,1.09537265587024E-33)); #32615=DIRECTION('ref_axis',(4.93038065763132E-32,1.,-1.54074395550979E-33)); #32616=DIRECTION('',(4.93038065763132E-32,1.,0.)); #32617=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32618=DIRECTION('',(4.93038065763132E-32,1.,-1.54074395550979E-33)); #32619=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32620=DIRECTION('ref_axis',(-0.962000526701989,0.273047590403386,1.53940171167787E-18)); #32621=DIRECTION('center_axis',(0.,0.,-1.)); #32622=DIRECTION('ref_axis',(-0.962000526701989,0.273047590403386,1.53940171167787E-18)); #32623=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32624=DIRECTION('center_axis',(-1.13915789033851E-18,-4.0134779760678E-18, -1.)); #32625=DIRECTION('ref_axis',(-0.962000526701989,0.273047590403386,-3.96664766424357E-34)); #32626=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32627=DIRECTION('ref_axis',(-0.167543517477534,-0.985864681257654,-5.55815847182064E-18)); #32628=DIRECTION('center_axis',(0.,0.,-1.)); #32629=DIRECTION('ref_axis',(-0.167543517477534,-0.985864681257654,-5.55815847182064E-18)); #32630=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32631=DIRECTION('center_axis',(7.16333400820295E-19,-1.21737820556644E-19, -1.)); #32632=DIRECTION('ref_axis',(-0.167543517477534,-0.985864681257654,1.58593073109289E-33)); #32633=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32634=DIRECTION('ref_axis',(0.49625375294112,-0.868177523719575,-4.89465577806321E-18)); #32635=DIRECTION('center_axis',(0.,0.,-1.)); #32636=DIRECTION('ref_axis',(0.49625375294112,-0.868177523719575,-4.89465577806321E-18)); #32637=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32638=DIRECTION('center_axis',(-1.86845484555293E-18,-1.06801628005076E-18, -1.)); #32639=DIRECTION('ref_axis',(0.49625375294112,-0.868177523719575,1.68919411544742E-33)); #32640=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32641=DIRECTION('ref_axis',(0.997742720951288,-0.0671525337475774,-3.78595999480552E-19)); #32642=DIRECTION('center_axis',(0.,0.,-1.)); #32643=DIRECTION('ref_axis',(0.997742720951288,-0.0671525337475774,-3.78595999480552E-19)); #32644=DIRECTION('center_axis',(-2.90570309740769E-19,-4.31725201253293E-18, -1.)); #32645=DIRECTION('ref_axis',(0.997742720951288,-0.0671525337475774,2.27754859747783E-34)); #32646=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32647=DIRECTION('ref_axis',(0.896982144071818,-0.442066774612529,-2.49230673857653E-18)); #32648=DIRECTION('center_axis',(0.,0.,1.)); #32649=DIRECTION('ref_axis',(0.896982144071818,-0.442066774612529,-2.49230673857653E-18)); #32650=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32651=DIRECTION('center_axis',(1.71965741696386E-18,3.48929638127464E-18, 1.)); #32652=DIRECTION('ref_axis',(0.896982144071818,-0.442066774612529,5.21276061108632E-34)); #32653=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32654=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32655=DIRECTION('ref_axis',(0.0721551576116412,-0.997393419484026,-5.6231557835821E-18)); #32656=DIRECTION('center_axis',(0.,0.,1.)); #32657=DIRECTION('ref_axis',(0.0721551576116412,-0.997393419484026,-5.6231557835821E-18)); #32658=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32659=DIRECTION('center_axis',(3.12107455260907E-19,2.25790166509929E-20, 1.)); #32660=DIRECTION('ref_axis',(0.0721551576116412,-0.997393419484026,9.91592839528666E-34)); #32661=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32662=DIRECTION('ref_axis',(-0.0892480476681329,-0.996009430671932,-5.61535306046291E-18)); #32663=DIRECTION('center_axis',(0.,0.,1.)); #32664=DIRECTION('ref_axis',(-0.0892480476681329,-0.996009430671932,-5.61535306046291E-18)); #32665=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32666=DIRECTION('center_axis',(-3.85507152010453E-19,3.45436093469772E-20, 1.)); #32667=DIRECTION('ref_axis',(-0.0892480476681329,-0.996009430671932,2.07375181961745E-33)); #32668=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32669=DIRECTION('ref_axis',(-0.764808736501892,-0.644257399313644,-3.63222741427371E-18)); #32670=DIRECTION('center_axis',(0.,0.,1.)); #32671=DIRECTION('ref_axis',(-0.764808736501892,-0.644257399313644,-3.63222741427371E-18)); #32672=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32673=DIRECTION('center_axis',(-2.13689173799862E-18,2.53673993022224E-18, 1.)); #32674=DIRECTION('ref_axis',(-0.764808736501892,-0.644257399313644,1.03694104054311E-33)); #32675=DIRECTION('center_axis',(-0.999859588360547,-0.0167571943796368, -9.44745700660542E-20)); #32676=DIRECTION('ref_axis',(0.0167571943796368,-0.999859588360547,1.54074395550979E-33)); #32677=DIRECTION('',(0.0167571943796368,-0.999859588360547,0.)); #32678=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32679=DIRECTION('',(0.0167571943796368,-0.999859588360547,1.54074395550979E-33)); #32680=DIRECTION('center_axis',(-0.999999998330743,5.77798809090755E-5, 3.25754376518286E-22)); #32681=DIRECTION('ref_axis',(-5.77798809090755E-5,-0.999999998330743,1.54074395550979E-33)); #32682=DIRECTION('',(-5.77798809090755E-5,-0.999999998330743,0.)); #32683=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32684=DIRECTION('',(-5.77798809090755E-5,-0.999999998330743,1.54074395550979E-33)); #32685=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32686=DIRECTION('ref_axis',(-0.994585829130083,-0.103918374186787,-5.85876340683275E-19)); #32687=DIRECTION('center_axis',(0.,0.,1.)); #32688=DIRECTION('ref_axis',(-0.994585829130083,-0.103918374186787,-5.85876340683275E-19)); #32689=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32690=DIRECTION('center_axis',(-4.48234081589364E-19,4.28997536932793E-18, 1.)); #32691=DIRECTION('ref_axis',(-0.994585829130083,-0.103918374186787,2.52767022795657E-34)); #32692=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32693=DIRECTION('ref_axis',(-0.756381306964077,0.654130964314727,3.68789310552034E-18)); #32694=DIRECTION('center_axis',(0.,0.,1.)); #32695=DIRECTION('ref_axis',(-0.756381306964077,0.654130964314727,3.68789310552034E-18)); #32696=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32697=DIRECTION('center_axis',(2.14573339007484E-18,2.4811432488623E-18, 1.)); #32698=DIRECTION('ref_axis',(-0.756381306964077,0.654130964314727,-1.51665919245455E-33)); #32699=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32700=DIRECTION('ref_axis',(-0.102527010441068,0.994730220778487,5.60814106530611E-18)); #32701=DIRECTION('center_axis',(0.,0.,1.)); #32702=DIRECTION('ref_axis',(-0.102527010441068,0.994730220778487,5.60814106530611E-18)); #32703=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32704=DIRECTION('center_axis',(-7.89373986491502E-18,8.09228864933931E-17, 1.)); #32705=DIRECTION('ref_axis',(-0.102527010441068,0.994730220778487,-8.13057622971546E-17)); #32706=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32707=DIRECTION('ref_axis',(0.206571697843082,0.978431465995563,5.51625110951494E-18)); #32708=DIRECTION('center_axis',(0.,0.,1.)); #32709=DIRECTION('ref_axis',(0.206571697843082,0.978431465995563,5.51625110951494E-18)); #32710=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32711=DIRECTION('center_axis',(-8.76539505708692E-19,1.85059720801746E-19, 1.)); #32712=DIRECTION('ref_axis',(0.206571697843082,0.978431465995563,-2.1205861177816E-33)); #32713=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32714=DIRECTION('ref_axis',(0.991270763040748,0.131841853523888,7.43304764878597E-19)); #32715=DIRECTION('center_axis',(0.,0.,1.)); #32716=DIRECTION('ref_axis',(0.991270763040748,0.131841853523888,7.43304764878597E-19)); #32717=DIRECTION('center_axis',(-5.66781754963869E-19,4.26142509153069E-18, 1.)); #32718=DIRECTION('ref_axis',(0.991270763040748,0.131841853523888,-3.42341087800837E-34)); #32719=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #32720=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #32721=DIRECTION('center_axis',(-1.,4.93038065763132E-32,-1.09537265587024E-33)); #32722=DIRECTION('ref_axis',(-4.93038065763132E-32,-1.,1.54074395550979E-33)); #32723=DIRECTION('',(-4.93038065763132E-32,-1.,0.)); #32724=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32725=DIRECTION('',(-4.93038065763132E-32,-1.,1.54074395550979E-33)); #32726=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32727=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32728=DIRECTION('ref_axis',(-0.981912343654303,-0.189336075218946,-1.06744863722775E-18)); #32729=DIRECTION('center_axis',(0.,0.,1.)); #32730=DIRECTION('ref_axis',(-0.981912343654303,-0.189336075218946,-1.06744863722775E-18)); #32731=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32732=DIRECTION('center_axis',(-8.06262302392994E-19,4.18134212419546E-18, 1.)); #32733=DIRECTION('ref_axis',(-0.981912343654303,-0.189336075218946,4.71890329728568E-34)); #32734=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32735=DIRECTION('ref_axis',(-0.333939156215896,0.942594631825269,5.31420836751028E-18)); #32736=DIRECTION('center_axis',(0.,0.,1.)); #32737=DIRECTION('ref_axis',(-0.333939156215896,0.942594631825269,5.31420836751028E-18)); #32738=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32739=DIRECTION('center_axis',(1.36509404477065E-18,4.83620782545004E-19, 1.)); #32740=DIRECTION('ref_axis',(-0.333939156215896,0.942594631825269,-7.2301645110742E-34)); #32741=DIRECTION('center_axis',(0.916095166120259,0.400960904093023,2.26055795315692E-18)); #32742=DIRECTION('ref_axis',(-0.400960904093023,0.916095166120259,-1.54074395550979E-33)); #32743=DIRECTION('',(-0.400960904093023,0.916095166120259,0.)); #32744=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32745=DIRECTION('',(-0.400960904093023,0.916095166120259,-1.54074395550979E-33)); #32746=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32747=DIRECTION('ref_axis',(0.945107867600496,0.326758501954643,1.84221584402613E-18)); #32748=DIRECTION('center_axis',(0.,0.,1.)); #32749=DIRECTION('ref_axis',(0.945107867600496,0.326758501954643,1.84221584402613E-18)); #32750=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32751=DIRECTION('center_axis',(-1.33930206769799E-18,3.87376277496426E-18, 1.)); #32752=DIRECTION('ref_axis',(0.945107867600496,0.326758501954643,-7.55807542161787E-34)); #32753=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32754=DIRECTION('ref_axis',(0.107537309628137,-0.994201049606136,-5.60515767692577E-18)); #32755=DIRECTION('center_axis',(0.,0.,1.)); #32756=DIRECTION('ref_axis',(0.107537309628137,-0.994201049606136,-5.60515767692577E-18)); #32757=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32758=DIRECTION('center_axis',(4.63664289706228E-19,5.01520394747155E-20, 1.)); #32759=DIRECTION('ref_axis',(0.107537309628137,-0.994201049606136,1.90842104274807E-33)); #32760=DIRECTION('center_axis',(0.25889647195089,-0.965905076501507,-5.4456291882601E-18)); #32761=DIRECTION('ref_axis',(0.965905076501507,0.25889647195089,-3.85185988877447E-34)); #32762=DIRECTION('',(0.965905076501507,0.25889647195089,0.)); #32763=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32764=DIRECTION('',(0.965905076501507,0.25889647195089,-3.85185988877447E-34)); #32765=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32766=DIRECTION('ref_axis',(-0.424215093192578,0.905561458271946,5.10542084196344E-18)); #32767=DIRECTION('center_axis',(0.,0.,-1.)); #32768=DIRECTION('ref_axis',(-0.424215093192578,0.905561458271946,5.10542084196344E-18)); #32769=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32770=DIRECTION('center_axis',(-1.66599736789294E-18,-7.80445349372472E-19, -1.)); #32771=DIRECTION('ref_axis',(-0.424215093192578,0.905561458271946,-1.63142767165412E-33)); #32772=DIRECTION('center_axis',(0.999999995023292,-9.9766812136251E-5,-5.62470451191303E-22)); #32773=DIRECTION('ref_axis',(9.9766812136251E-5,0.999999995023292,-1.54074395550979E-33)); #32774=DIRECTION('',(9.9766812136251E-5,0.999999995023292,0.)); #32775=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32776=DIRECTION('',(9.9766812136251E-5,0.999999995023292,-1.54074395550979E-33)); #32777=DIRECTION('center_axis',(0.987492488615912,0.157666055088444,8.88897773161373E-19)); #32778=DIRECTION('ref_axis',(-0.157666055088444,0.987492488615912,-1.54074395550979E-33)); #32779=DIRECTION('',(-0.157666055088444,0.987492488615912,0.)); #32780=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32781=DIRECTION('',(-0.157666055088444,0.987492488615912,-1.54074395550979E-33)); #32782=DIRECTION('center_axis',(-7.95251397100826E-7,0.999999999999684, 5.63785129692284E-18)); #32783=DIRECTION('ref_axis',(-0.999999999999684,-7.95251397100825E-7,1.46936793852786E-39)); #32784=DIRECTION('',(-0.999999999999684,-7.95251397100825E-7,0.)); #32785=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32786=DIRECTION('',(-0.999999999999684,-7.95251397100825E-7,1.46936793852786E-39)); #32787=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32788=DIRECTION('ref_axis',(-0.053055332150234,0.998591574033362,5.62991080076199E-18)); #32789=DIRECTION('center_axis',(0.,0.,1.)); #32790=DIRECTION('ref_axis',(-0.053055332150234,0.998591574033362,5.62991080076199E-18)); #32791=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32792=DIRECTION('center_axis',(2.29766759623552E-19,1.2207545172522E-20, 1.)); #32793=DIRECTION('ref_axis',(-0.053055332150234,0.998591574033362,-2.26879903730728E-33)); #32794=DIRECTION('center_axis',(0.457831500291722,0.889038985276029,5.01226959615501E-18)); #32795=DIRECTION('ref_axis',(-0.889038985276029,0.457831500291722,-3.85185988877447E-34)); #32796=DIRECTION('',(-0.889038985276029,0.457831500291722,0.)); #32797=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32798=DIRECTION('',(-0.889038985276029,0.457831500291722,-3.85185988877447E-34)); #32799=DIRECTION('center_axis',(0.646669182859535,0.762770586703356,4.30038714150147E-18)); #32800=DIRECTION('ref_axis',(-0.762770586703356,0.646669182859535,-7.70371977754894E-34)); #32801=DIRECTION('',(-0.762770586703356,0.646669182859535,0.)); #32802=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32803=DIRECTION('',(-0.762770586703356,0.646669182859535,-7.70371977754894E-34)); #32804=DIRECTION('center_axis',(0.806848866496205,0.590757908651073,3.33060524145693E-18)); #32805=DIRECTION('ref_axis',(-0.590757908651073,0.806848866496205,-1.54074395550979E-33)); #32806=DIRECTION('',(-0.590757908651073,0.806848866496205,0.)); #32807=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32808=DIRECTION('',(-0.590757908651073,0.806848866496204,-1.54074395550979E-33)); #32809=DIRECTION('center_axis',(0.911646629517044,0.41097496625733,2.31701574651744E-18)); #32810=DIRECTION('ref_axis',(-0.41097496625733,0.911646629517044,-1.54074395550979E-33)); #32811=DIRECTION('',(-0.41097496625733,0.911646629517044,0.)); #32812=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32813=DIRECTION('',(-0.41097496625733,0.911646629517044,-1.54074395550979E-33)); #32814=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32815=DIRECTION('ref_axis',(0.943130970346402,0.332421378333966,1.87414229896562E-18)); #32816=DIRECTION('center_axis',(0.,0.,1.)); #32817=DIRECTION('ref_axis',(0.943130970346402,0.332421378333966,1.87414229896562E-18)); #32818=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32819=DIRECTION('center_axis',(-1.35966280383898E-18,3.85757410054499E-18, 1.)); #32820=DIRECTION('ref_axis',(0.943130970346402,0.332421378333966,-4.9749264776495E-34)); #32821=DIRECTION('center_axis',(0.282353990390331,-0.959310285627469,-5.40844873797796E-18)); #32822=DIRECTION('ref_axis',(0.959310285627469,0.282353990390331,-3.85185988877447E-34)); #32823=DIRECTION('',(0.959310285627469,0.282353990390331,0.)); #32824=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32825=DIRECTION('',(0.959310285627469,0.282353990390331,-3.85185988877447E-34)); #32826=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32827=DIRECTION('ref_axis',(0.0417801467159702,-0.999126828455923,-5.63292848560241E-18)); #32828=DIRECTION('center_axis',(0.,0.,1.)); #32829=DIRECTION('ref_axis',(0.0417801467159702,-0.999126828455923,-5.63292848560241E-18)); #32830=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32831=DIRECTION('center_axis',(1.52078172877718E-18,-3.20310345293844E-17, 1.)); #32832=DIRECTION('ref_axis',(0.0417801467159702,-0.999126828455923,-3.20666044252573E-17)); #32833=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32834=DIRECTION('ref_axis',(0.995464155389788,0.0951373498374662,5.36370231167132E-19)); #32835=DIRECTION('center_axis',(0.,0.,1.)); #32836=DIRECTION('ref_axis',(0.995464155389788,0.0951373498374662,5.36370231167132E-19)); #32837=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32838=DIRECTION('center_axis',(-4.10721030111548E-19,4.29755573430743E-18, 1.)); #32839=DIRECTION('ref_axis',(0.995464155389788,0.0951373498374662,-1.26813053260642E-34)); #32840=DIRECTION('center_axis',(0.941220174140639,-0.33779370004585,-1.90443064989646E-18)); #32841=DIRECTION('ref_axis',(0.33779370004585,0.941220174140639,-7.70371977754894E-34)); #32842=DIRECTION('',(0.33779370004585,0.941220174140639,0.)); #32843=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32844=DIRECTION('',(0.33779370004585,0.941220174140639,-7.70371977754894E-34)); #32845=DIRECTION('center_axis',(0.811724570892669,-0.584040427546855,-3.29273308190145E-18)); #32846=DIRECTION('ref_axis',(0.584040427546855,0.811724570892668,-1.54074395550979E-33)); #32847=DIRECTION('',(0.584040427546855,0.811724570892668,0.)); #32848=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32849=DIRECTION('',(0.584040427546855,0.811724570892668,-1.54074395550979E-33)); #32850=DIRECTION('center_axis',(0.641308318802455,-0.767283285517656,-4.3258290663643E-18)); #32851=DIRECTION('ref_axis',(0.767283285517656,0.641308318802455,-7.70371977754894E-34)); #32852=DIRECTION('',(0.767283285517656,0.641308318802455,0.)); #32853=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32854=DIRECTION('',(0.767283285517656,0.641308318802455,-7.70371977754894E-34)); #32855=DIRECTION('center_axis',(0.475018243315103,-0.879975947692795,-4.9611735379623E-18)); #32856=DIRECTION('ref_axis',(0.879975947692795,0.475018243315103,-1.15555796663234E-33)); #32857=DIRECTION('',(0.879975947692795,0.475018243315103,0.)); #32858=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32859=DIRECTION('',(0.879975947692795,0.475018243315103,-1.15555796663234E-33)); #32860=DIRECTION('center_axis',(0.336184103988965,-0.941796288071437,-5.30970742414234E-18)); #32861=DIRECTION('ref_axis',(0.941796288071437,0.336184103988965,-7.70371977754894E-34)); #32862=DIRECTION('',(0.941796288071437,0.336184103988965,0.)); #32863=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32864=DIRECTION('',(0.941796288071437,0.336184103988965,-3.85185988877447E-34)); #32865=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32866=DIRECTION('ref_axis',(0.503859067011282,-0.863785876586623,-4.86989632457906E-18)); #32867=DIRECTION('center_axis',(0.,0.,1.)); #32868=DIRECTION('ref_axis',(0.503859067011282,-0.863785876586623,-4.86989632457906E-18)); #32869=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32870=DIRECTION('center_axis',(1.88749339888006E-18,1.10100279331702E-18, 1.)); #32871=DIRECTION('ref_axis',(0.503859067011282,-0.863785876586623,1.67111726703017E-33)); #32872=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32873=DIRECTION('ref_axis',(-0.30032257819381,-0.953837695327157,-5.37759508765581E-18)); #32874=DIRECTION('center_axis',(0.,0.,1.)); #32875=DIRECTION('ref_axis',(-0.30032257819381,-0.953837695327157,-5.37759508765581E-18)); #32876=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32877=DIRECTION('center_axis',(-1.24231786246705E-18,3.91152609317206E-19, 1.)); #32878=DIRECTION('ref_axis',(-0.30032257819381,-0.953837695327157,2.2176299344691E-33)); #32879=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32880=DIRECTION('ref_axis',(-0.99830279265869,-0.0582368798087693,-3.28330868358714E-19)); #32881=DIRECTION('center_axis',(0.,0.,1.)); #32882=DIRECTION('ref_axis',(-0.99830279265869,-0.0582368798087693,-3.28330868358714E-19)); #32883=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32884=DIRECTION('center_axis',(-2.5213355599889E-19,4.32210025508194E-18, 1.)); #32885=DIRECTION('ref_axis',(-0.99830279265869,-0.0582368798087693,1.86337637223077E-34)); #32886=DIRECTION('center_axis',(-0.993266162859738,-0.115854778571681,-6.53172013625268E-19)); #32887=DIRECTION('ref_axis',(0.115854778571681,-0.993266162859738,1.54074395550979E-33)); #32888=DIRECTION('',(0.115854778571681,-0.993266162859738,0.)); #32889=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32890=DIRECTION('',(0.115854778571681,-0.993266162859738,1.54074395550979E-33)); #32891=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32892=DIRECTION('ref_axis',(0.999997676547656,0.00215566678527861,1.21533287811215E-20)); #32893=DIRECTION('center_axis',(0.,0.,-1.)); #32894=DIRECTION('ref_axis',(0.999997676547656,0.00215566678527861,1.21533287811215E-20)); #32895=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32896=DIRECTION('center_axis',(9.34869272572316E-21,-4.3367885372288E-18, -1.)); #32897=DIRECTION('ref_axis',(0.999997676547656,0.00215566678527861,-6.3533461771197E-36)); #32898=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32899=DIRECTION('ref_axis',(0.671150252217471,0.741321346615909,4.17945951545641E-18)); #32900=DIRECTION('center_axis',(0.,0.,-1.)); #32901=DIRECTION('ref_axis',(0.671150252217471,0.741321346615909,4.17945951545641E-18)); #32902=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32903=DIRECTION('center_axis',(2.15772715994714E-18,-1.95348364676908E-18, -1.)); #32904=DIRECTION('ref_axis',(0.671150252217471,0.741321346615909,-1.62444983765487E-33)); #32905=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32906=DIRECTION('ref_axis',(0.0551847169516104,0.998476162467072,5.6292601275133E-18)); #32907=DIRECTION('center_axis',(0.,0.,-1.)); #32908=DIRECTION('ref_axis',(0.0551847169516104,0.998476162467072,5.6292601275133E-18)); #32909=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32910=DIRECTION('center_axis',(2.38960866756775E-19,-1.32071132894175E-20, -1.)); #32911=DIRECTION('ref_axis',(0.0551847169516104,0.998476162467072,-1.64776541378219E-33)); #32912=DIRECTION('center_axis',(-0.0815656854258921,-0.996667968262753, -5.61906579747339E-18)); #32913=DIRECTION('ref_axis',(0.996667968262753,-0.0815656854258921,9.62964972193618E-35)); #32914=DIRECTION('',(0.996667968262753,-0.0815656854258921,0.)); #32915=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32916=DIRECTION('',(0.996667968262753,-0.0815656854258921,9.62964972193618E-35)); #32917=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32918=DIRECTION('ref_axis',(-0.101471323063826,-0.994838464573861,-5.60875132772824E-18)); #32919=DIRECTION('center_axis',(0.,0.,1.)); #32920=DIRECTION('ref_axis',(-0.101471323063826,-0.994838464573861,-5.60875132772824E-18)); #32921=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32922=DIRECTION('center_axis',(-4.37790321508133E-19,4.46536445160451E-20, 1.)); #32923=DIRECTION('ref_axis',(-0.101471323063826,-0.994838464573861,2.35985658321984E-33)); #32924=DIRECTION('center_axis',(-0.397074279632041,-0.917786476504582,-5.17434367686124E-18)); #32925=DIRECTION('ref_axis',(0.917786476504582,-0.397074279632041,3.85185988877447E-34)); #32926=DIRECTION('',(0.917786476504582,-0.397074279632041,0.)); #32927=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32928=DIRECTION('',(0.917786476504582,-0.397074279632041,3.85185988877447E-34)); #32929=DIRECTION('center_axis',(-0.565206920958864,-0.824949172070741,-4.65094075965592E-18)); #32930=DIRECTION('ref_axis',(0.824949172070741,-0.565206920958864,3.85185988877447E-34)); #32931=DIRECTION('',(0.824949172070741,-0.565206920958864,0.)); #32932=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32933=DIRECTION('',(0.824949172070741,-0.565206920958864,7.70371977754895E-34)); #32934=DIRECTION('center_axis',(-0.739312132995126,-0.673362881369472,-3.79631979402978E-18)); #32935=DIRECTION('ref_axis',(0.673362881369472,-0.739312132995126,7.70371977754894E-34)); #32936=DIRECTION('',(0.673362881369472,-0.739312132995126,0.)); #32937=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32938=DIRECTION('',(0.673362881369472,-0.739312132995126,7.70371977754894E-34)); #32939=DIRECTION('center_axis',(-0.880790593792822,-0.473505997729795,-2.66955640340251E-18)); #32940=DIRECTION('ref_axis',(0.473505997729795,-0.880790593792822,1.54074395550979E-33)); #32941=DIRECTION('',(0.473505997729795,-0.880790593792822,0.)); #32942=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32943=DIRECTION('',(0.473505997729795,-0.880790593792822,1.54074395550979E-33)); #32944=DIRECTION('center_axis',(-0.96481738026396,-0.262920943898713,-1.482309184548E-18)); #32945=DIRECTION('ref_axis',(0.262920943898713,-0.96481738026396,1.54074395550979E-33)); #32946=DIRECTION('',(0.262920943898713,-0.96481738026396,0.)); #32947=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32948=DIRECTION('',(0.262920943898713,-0.96481738026396,1.54074395550979E-33)); #32949=DIRECTION('center_axis',(-0.996979561120213,-0.0776643721956718, -4.37860181508206E-19)); #32950=DIRECTION('ref_axis',(0.0776643721956718,-0.996979561120213,2.31111593326468E-33)); #32951=DIRECTION('',(0.0776643721956718,-0.996979561120213,0.)); #32952=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32953=DIRECTION('',(0.0776643721956718,-0.996979561120213,1.54074395550979E-33)); #32954=DIRECTION('center_axis',(-0.999973328397194,-0.00730359461065119, -4.11765803478726E-20)); #32955=DIRECTION('ref_axis',(0.00730359461065119,-0.999973328397194,1.54074395550979E-33)); #32956=DIRECTION('',(0.00730359461065119,-0.999973328397194,0.)); #32957=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32958=DIRECTION('',(0.00730359461065119,-0.999973328397194,1.54074395550979E-33)); #32959=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32960=DIRECTION('ref_axis',(-0.999945678078096,0.0104230942112414,5.87638552168137E-20)); #32961=DIRECTION('center_axis',(0.,0.,1.)); #32962=DIRECTION('ref_axis',(-0.999945678078096,0.0104230942112414,5.87638552168137E-20)); #32963=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32964=DIRECTION('center_axis',(4.52005100394315E-20,4.33633753517345E-18, 1.)); #32965=DIRECTION('ref_axis',(-0.999945678078096,0.0104230942112414,-2.50282916713903E-35)); #32966=DIRECTION('center_axis',(-0.916790075397112,0.399369450075188,2.25158557205847E-18)); #32967=DIRECTION('ref_axis',(-0.399369450075188,-0.916790075397112,1.54074395550979E-33)); #32968=DIRECTION('',(-0.399369450075188,-0.916790075397112,0.)); #32969=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32970=DIRECTION('',(-0.399369450075188,-0.916790075397112,1.54074395550979E-33)); #32971=DIRECTION('center_axis',(-0.755132109469543,0.655572648337374,3.69602110565717E-18)); #32972=DIRECTION('ref_axis',(-0.655572648337374,-0.755132109469543,1.54074395550979E-33)); #32973=DIRECTION('',(-0.655572648337374,-0.755132109469543,0.)); #32974=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32975=DIRECTION('',(-0.655572648337374,-0.755132109469543,1.54074395550979E-33)); #32976=DIRECTION('center_axis',(-0.508041234423903,0.861332748782395,4.85606595480648E-18)); #32977=DIRECTION('ref_axis',(-0.861332748782395,-0.508041234423903,3.85185988877447E-34)); #32978=DIRECTION('',(-0.861332748782395,-0.508041234423903,0.)); #32979=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32980=DIRECTION('',(-0.861332748782395,-0.508041234423903,3.85185988877447E-34)); #32981=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #32982=DIRECTION('ref_axis',(-0.230261512465622,0.973128786891563,5.48635539325128E-18)); #32983=DIRECTION('center_axis',(0.,0.,1.)); #32984=DIRECTION('ref_axis',(-0.230261512465622,0.973128786891563,5.48635539325128E-18)); #32985=DIRECTION('center_axis',(9.71766531364586E-19,2.29939175872326E-19, 1.)); #32986=DIRECTION('ref_axis',(-0.230261512465622,0.973128786891563,-1.85063264964041E-33)); #32987=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #32988=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #32989=DIRECTION('center_axis',(-0.723915517643941,-0.689888631095125,-3.8894895135532E-18)); #32990=DIRECTION('ref_axis',(0.689888631095125,-0.723915517643941,7.70371977754894E-34)); #32991=DIRECTION('',(0.689888631095125,-0.723915517643941,0.)); #32992=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32993=DIRECTION('',(0.689888631095125,-0.723915517643941,7.70371977754894E-34)); #32994=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32995=DIRECTION('center_axis',(-0.796486545944239,-0.604656251212055,-3.40896203008946E-18)); #32996=DIRECTION('ref_axis',(0.604656251212055,-0.796486545944239,7.70371977754894E-34)); #32997=DIRECTION('',(0.604656251212055,-0.796486545944239,0.)); #32998=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #32999=DIRECTION('',(0.604656251212055,-0.796486545944239,7.70371977754895E-34)); #33000=DIRECTION('center_axis',(-0.85825322541641,-0.51322646177134,-2.89349447311359E-18)); #33001=DIRECTION('ref_axis',(0.51322646177134,-0.85825322541641,7.70371977754894E-34)); #33002=DIRECTION('',(0.51322646177134,-0.85825322541641,0.)); #33003=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33004=DIRECTION('',(0.51322646177134,-0.85825322541641,7.70371977754894E-34)); #33005=DIRECTION('center_axis',(-0.908477571426134,-0.417933609818202,-2.35624754414194E-18)); #33006=DIRECTION('ref_axis',(0.417933609818202,-0.908477571426134,1.54074395550979E-33)); #33007=DIRECTION('',(0.417933609818202,-0.908477571426134,0.)); #33008=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33009=DIRECTION('',(0.417933609818202,-0.908477571426134,1.54074395550979E-33)); #33010=DIRECTION('center_axis',(-0.946875640274806,-0.321599940693678,-1.81313264273074E-18)); #33011=DIRECTION('ref_axis',(0.321599940693678,-0.946875640274805,2.31111593326468E-33)); #33012=DIRECTION('',(0.321599940693678,-0.946875640274805,0.)); #33013=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33014=DIRECTION('',(0.321599940693678,-0.946875640274805,2.31111593326468E-33)); #33015=DIRECTION('center_axis',(-0.974211555249039,-0.225636534318465,-1.27210522764094E-18)); #33016=DIRECTION('ref_axis',(0.225636534318465,-0.974211555249039,1.54074395550979E-33)); #33017=DIRECTION('',(0.225636534318465,-0.974211555249039,0.)); #33018=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33019=DIRECTION('',(0.225636534318465,-0.974211555249039,1.54074395550979E-33)); #33020=DIRECTION('center_axis',(-0.991214475866006,-0.132264367210826,-7.45686834216469E-19)); #33021=DIRECTION('ref_axis',(0.132264367210826,-0.991214475866006,1.54074395550979E-33)); #33022=DIRECTION('',(0.132264367210826,-0.991214475866006,0.)); #33023=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33024=DIRECTION('',(0.132264367210826,-0.991214475866006,1.54074395550979E-33)); #33025=DIRECTION('center_axis',(-0.999079210810472,-0.0429037355754131, -2.41884881256755E-19)); #33026=DIRECTION('ref_axis',(0.0429037355754131,-0.999079210810472,1.54074395550979E-33)); #33027=DIRECTION('',(0.0429037355754131,-0.999079210810472,0.)); #33028=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33029=DIRECTION('',(0.0429037355754131,-0.999079210810472,1.54074395550979E-33)); #33030=DIRECTION('center_axis',(-1.,4.93038065763132E-32,-1.09537265587024E-33)); #33031=DIRECTION('ref_axis',(-4.93038065763132E-32,-1.,1.54074395550979E-33)); #33032=DIRECTION('',(-4.93038065763132E-32,-1.,0.)); #33033=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33034=DIRECTION('',(-4.93038065763132E-32,-1.,1.54074395550979E-33)); #33035=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33036=DIRECTION('ref_axis',(-0.979699369440285,-0.200472306113109,-1.13023305101726E-18)); #33037=DIRECTION('center_axis',(0.,0.,1.)); #33038=DIRECTION('ref_axis',(-0.979699369440285,-0.200472306113109,-1.13023305101726E-18)); #33039=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33040=DIRECTION('center_axis',(-8.51760467232447E-19,4.16251605441689E-18, 1.)); #33041=DIRECTION('ref_axis',(-0.979699369440285,-0.200472306113109,5.04871341117767E-34)); #33042=DIRECTION('center_axis',(-0.894480730277424,0.447106500917137,2.52071996605911E-18)); #33043=DIRECTION('ref_axis',(-0.447106500917137,-0.894480730277424,1.54074395550979E-33)); #33044=DIRECTION('',(-0.447106500917137,-0.894480730277424,0.)); #33045=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33046=DIRECTION('',(-0.447106500917137,-0.894480730277424,1.54074395550979E-33)); #33047=DIRECTION('center_axis',(-0.753788779488431,0.657116790165448,3.70472674766522E-18)); #33048=DIRECTION('ref_axis',(-0.657116790165448,-0.753788779488431,1.54074395550979E-33)); #33049=DIRECTION('',(-0.657116790165448,-0.753788779488431,0.)); #33050=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33051=DIRECTION('',(-0.657116790165448,-0.753788779488431,1.54074395550979E-33)); #33052=DIRECTION('center_axis',(-0.583622139496599,0.812025368008545,4.57807827416267E-18)); #33053=DIRECTION('ref_axis',(-0.812025368008545,-0.583622139496599,7.70371977754894E-34)); #33054=DIRECTION('',(-0.812025368008545,-0.583622139496599,0.)); #33055=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33056=DIRECTION('',(-0.812025368008545,-0.583622139496599,1.15555796663234E-33)); #33057=DIRECTION('center_axis',(-0.421702093032173,0.906734440027666,5.1120339386762E-18)); #33058=DIRECTION('ref_axis',(-0.906734440027666,-0.421702093032173,1.15555796663234E-33)); #33059=DIRECTION('',(-0.906734440027666,-0.421702093032173,0.)); #33060=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33061=DIRECTION('',(-0.906734440027666,-0.421702093032173,1.15555796663234E-33)); #33062=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33063=DIRECTION('ref_axis',(-0.403962478303762,0.914775555053087,5.15736854945099E-18)); #33064=DIRECTION('center_axis',(0.,0.,1.)); #33065=DIRECTION('ref_axis',(-0.403962478303762,0.914775555053087,5.15736854945099E-18)); #33066=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33067=DIRECTION('center_axis',(1.60260260058622E-18,7.0770509191328E-19, 1.)); #33068=DIRECTION('ref_axis',(-0.403962478303762,0.914775555053087,-3.07275331926342E-33)); #33069=DIRECTION('center_axis',(0.9379599035007,0.346743737398324,1.95488962959163E-18)); #33070=DIRECTION('ref_axis',(-0.346743737398324,0.9379599035007,-2.31111593326468E-33)); #33071=DIRECTION('',(-0.346743737398324,0.9379599035007,0.)); #33072=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33073=DIRECTION('',(-0.346743737398324,0.9379599035007,-2.31111593326468E-33)); #33074=DIRECTION('center_axis',(0.984524966702869,0.175244372060032,9.88001710297395E-19)); #33075=DIRECTION('ref_axis',(-0.175244372060032,0.984524966702869,-1.54074395550979E-33)); #33076=DIRECTION('',(-0.175244372060032,0.984524966702869,0.)); #33077=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33078=DIRECTION('',(-0.175244372060032,0.984524966702869,-1.54074395550979E-33)); #33079=DIRECTION('center_axis',(0.997356322652975,0.0726661245982989,4.09680804809006E-19)); #33080=DIRECTION('ref_axis',(-0.0726661245982989,0.997356322652975,-1.54074395550979E-33)); #33081=DIRECTION('',(-0.0726661245982989,0.997356322652975,0.)); #33082=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33083=DIRECTION('',(-0.0726661245982988,0.997356322652975,-1.54074395550979E-33)); #33084=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33085=DIRECTION('ref_axis',(0.999996382223024,0.00268989606933353,1.51652340430856E-20)); #33086=DIRECTION('center_axis',(0.,0.,1.)); #33087=DIRECTION('ref_axis',(0.999996382223024,0.00268989606933353,1.51652340430856E-20)); #33088=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33089=DIRECTION('center_axis',(-1.16655224451153E-20,4.33677731078553E-18, 1.)); #33090=DIRECTION('ref_axis',(0.999996382223024,0.00268989606933353,-5.34526615077108E-36)); #33091=DIRECTION('center_axis',(0.960898313095714,-0.276901484087413,-1.56112939118257E-18)); #33092=DIRECTION('ref_axis',(0.276901484087413,0.960898313095714,-1.54074395550979E-33)); #33093=DIRECTION('',(0.276901484087413,0.960898313095714,0.)); #33094=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33095=DIRECTION('',(0.276901484087413,0.960898313095714,-1.54074395550979E-33)); #33096=DIRECTION('center_axis',(0.799668404969825,-0.600441872368189,-3.38520198885884E-18)); #33097=DIRECTION('ref_axis',(0.600441872368189,0.799668404969825,-7.70371977754894E-34)); #33098=DIRECTION('',(0.600441872368189,0.799668404969825,0.)); #33099=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33100=DIRECTION('',(0.600441872368189,0.799668404969825,-7.70371977754894E-34)); #33101=DIRECTION('center_axis',(0.591214232518567,-0.806514557381007,-4.5470091433191E-18)); #33102=DIRECTION('ref_axis',(0.806514557381007,0.591214232518567,-7.70371977754894E-34)); #33103=DIRECTION('',(0.806514557381007,0.591214232518567,0.)); #33104=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33105=DIRECTION('',(0.806514557381007,0.591214232518567,-7.70371977754894E-34)); #33106=DIRECTION('center_axis',(0.412373008718206,-0.911015094101461,-5.13616762979783E-18)); #33107=DIRECTION('ref_axis',(0.911015094101461,0.412373008718206,-1.15555796663234E-33)); #33108=DIRECTION('',(0.911015094101461,0.412373008718206,0.)); #33109=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33110=DIRECTION('',(0.911015094101461,0.412373008718206,-1.15555796663234E-33)); #33111=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33112=DIRECTION('ref_axis',(0.388361867467002,-0.921506950542177,-5.19531915623927E-18)); #33113=DIRECTION('center_axis',(0.,0.,1.)); #33114=DIRECTION('ref_axis',(0.388361867467002,-0.921506950542177,-5.19531915623927E-18)); #33115=DIRECTION('center_axis',(1.55204911508013E-18,6.54098910896322E-19, 1.)); #33116=DIRECTION('ref_axis',(0.388361867467002,-0.921506950542177,2.73102417495697E-33)); #33117=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #33118=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #33119=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33120=DIRECTION('ref_axis',(-0.991419079397,0.130721876545604,7.36990501219052E-19)); #33121=DIRECTION('center_axis',(0.,0.,-1.)); #33122=DIRECTION('ref_axis',(-0.991419079397,0.130721876545604,7.36990501219052E-19)); #33123=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33124=DIRECTION('center_axis',(-5.62051110956098E-19,-4.2627003966223E-18, -1.)); #33125=DIRECTION('ref_axis',(-0.991419079397,0.130721876545604,0.)); #33126=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33127=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33128=DIRECTION('ref_axis',(-0.782431072617625,-0.622737197060232,-3.51089971408923E-18)); #33129=DIRECTION('center_axis',(0.,0.,-1.)); #33130=DIRECTION('ref_axis',(-0.782431072617625,-0.622737197060232,-3.51089971408923E-18)); #33131=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33132=DIRECTION('center_axis',(2.11310540703674E-18,-2.65498726908704E-18, -1.)); #33133=DIRECTION('ref_axis',(-0.782431072617625,-0.622737197060232,5.78335065063269E-34)); #33134=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33135=DIRECTION('ref_axis',(-0.169628882288111,-0.985508012292993,-5.55614762523566E-18)); #33136=DIRECTION('center_axis',(0.,0.,-1.)); #33137=DIRECTION('ref_axis',(-0.169628882288111,-0.985508012292993,-5.55614762523566E-18)); #33138=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33139=DIRECTION('center_axis',(7.24987008843437E-19,-1.24787149824768E-19, -1.)); #33140=DIRECTION('ref_axis',(-0.169628882288111,-0.985508012292993,2.10695518649789E-33)); #33141=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33142=DIRECTION('ref_axis',(0.601454051298979,-0.798907393992598,-4.50412108734384E-18)); #33143=DIRECTION('center_axis',(0.,0.,-1.)); #33144=DIRECTION('ref_axis',(0.601454051298979,-0.798907393992598,-4.50412108734384E-18)); #33145=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33146=DIRECTION('center_axis',(-2.08386298117242E-18,-1.56882742831359E-18, -1.)); #33147=DIRECTION('ref_axis',(0.601454051298979,-0.798907393992598,1.64756053545723E-33)); #33148=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33149=DIRECTION('ref_axis',(0.999836622204587,-0.018075643792726,-1.01907791799567E-19)); #33150=DIRECTION('center_axis',(0.,0.,-1.)); #33151=DIRECTION('ref_axis',(0.999836622204587,-0.018075643792726,-1.01907791799567E-19)); #33152=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33153=DIRECTION('center_axis',(-7.83778017916986E-20,-4.33539172921566E-18, -1.)); #33154=DIRECTION('ref_axis',(0.999836622204587,-0.018075643792726,1.32369453142765E-35)); #33155=DIRECTION('center_axis',(-4.93038065763132E-32,-1.,-5.63785129692462E-18)); #33156=DIRECTION('ref_axis',(1.,-4.93038065763132E-32,-1.71056941445901E-49)); #33157=DIRECTION('',(1.,-4.93038065763132E-32,0.)); #33158=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33159=DIRECTION('',(1.,-4.93038065763132E-32,-1.71056941445901E-49)); #33160=DIRECTION('center_axis',(0.00941552023694316,-0.999955673006893, -5.63760138792904E-18)); #33161=DIRECTION('ref_axis',(0.999955673006893,0.00941552023694316,-1.80555932286303E-35)); #33162=DIRECTION('',(0.999955673006893,0.00941552023694316,0.)); #33163=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33164=DIRECTION('',(0.999955673006893,0.00941552023694316,-1.80555932286303E-35)); #33165=DIRECTION('center_axis',(1.,-4.93038065763132E-32,1.09537265587024E-33)); #33166=DIRECTION('ref_axis',(4.93038065763132E-32,1.,-1.54074395550979E-33)); #33167=DIRECTION('',(4.93038065763132E-32,1.,0.)); #33168=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33169=DIRECTION('',(4.93038065763132E-32,1.,-1.54074395550979E-33)); #33170=DIRECTION('center_axis',(0.99969401300259,0.024736215692312,1.39459105721909E-19)); #33171=DIRECTION('ref_axis',(-0.024736215692312,0.99969401300259,-1.54074395550979E-33)); #33172=DIRECTION('',(-0.024736215692312,0.99969401300259,0.)); #33173=DIRECTION('',(-0.024736215692312,0.99969401300259,-1.54074395550979E-33)); #33174=DIRECTION('center_axis',(4.93038065763132E-32,1.,5.63785129692462E-18)); #33175=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #33176=DIRECTION('',(-1.,4.93038065763132E-32,0.)); #33177=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33178=DIRECTION('',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #33179=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33180=DIRECTION('center_axis',(0.0756457043518744,0.997134758903283,5.6216974936915E-18)); #33181=DIRECTION('ref_axis',(-0.997134758903283,0.0756457043518744,-9.62964972193618E-35)); #33182=DIRECTION('',(-0.997134758903283,0.0756457043518744,0.)); #33183=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33184=DIRECTION('',(-0.997134758903283,0.0756457043518744,-9.62964972193618E-35)); #33185=DIRECTION('center_axis',(0.257166158252097,0.966367200938576,5.44823457711697E-18)); #33186=DIRECTION('ref_axis',(-0.966367200938576,0.257166158252097,-3.85185988877447E-34)); #33187=DIRECTION('',(-0.966367200938576,0.257166158252097,0.)); #33188=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33189=DIRECTION('',(-0.966367200938576,0.257166158252097,-3.85185988877447E-34)); #33190=DIRECTION('center_axis',(0.466172088728155,0.884694062199374,4.98777356595225E-18)); #33191=DIRECTION('ref_axis',(-0.884694062199374,0.466172088728155,-3.85185988877447E-34)); #33192=DIRECTION('',(-0.884694062199374,0.466172088728155,0.)); #33193=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33194=DIRECTION('',(-0.884694062199374,0.466172088728155,-3.85185988877447E-34)); #33195=DIRECTION('center_axis',(0.667237722255251,0.744844830820235,4.19932439544746E-18)); #33196=DIRECTION('ref_axis',(-0.744844830820235,0.667237722255251,-7.70371977754894E-34)); #33197=DIRECTION('',(-0.744844830820235,0.667237722255251,0.)); #33198=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33199=DIRECTION('',(-0.744844830820235,0.667237722255251,-7.70371977754894E-34)); #33200=DIRECTION('center_axis',(0.82109825124911,0.570786879488004,3.218011548789E-18)); #33201=DIRECTION('ref_axis',(-0.570786879488004,0.82109825124911,-7.70371977754894E-34)); #33202=DIRECTION('',(-0.570786879488004,0.82109825124911,0.)); #33203=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33204=DIRECTION('',(-0.570786879488004,0.82109825124911,-7.70371977754894E-34)); #33205=DIRECTION('center_axis',(0.915884232852738,0.401442489046378,2.26327305751077E-18)); #33206=DIRECTION('ref_axis',(-0.401442489046378,0.915884232852738,-1.54074395550979E-33)); #33207=DIRECTION('',(-0.401442489046378,0.915884232852738,0.)); #33208=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33209=DIRECTION('',(-0.401442489046378,0.915884232852738,-1.54074395550979E-33)); #33210=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33211=DIRECTION('ref_axis',(0.916444315147218,0.400162238641213,2.25605519610362E-18)); #33212=DIRECTION('center_axis',(0.,0.,1.)); #33213=DIRECTION('ref_axis',(0.916444315147218,0.400162238641213,2.25605519610362E-18)); #33214=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33215=DIRECTION('center_axis',(-1.59042227625193E-18,3.64235630704128E-18, 1.)); #33216=DIRECTION('ref_axis',(0.916444315147218,0.400162238641213,-7.95114122146031E-34)); #33217=DIRECTION('center_axis',(0.459368763945579,-0.88824565223316,-5.00779690243037E-18)); #33218=DIRECTION('ref_axis',(0.888245652233159,0.459368763945579,-1.15555796663234E-33)); #33219=DIRECTION('',(0.888245652233159,0.459368763945579,0.)); #33220=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33221=DIRECTION('',(0.888245652233159,0.459368763945579,-1.15555796663234E-33)); #33222=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33223=DIRECTION('ref_axis',(0.266894892897331,-0.96372564360679,-5.43334186968806E-18)); #33224=DIRECTION('center_axis',(0.,0.,1.)); #33225=DIRECTION('ref_axis',(0.266894892897331,-0.96372564360679,-5.43334186968806E-18)); #33226=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33227=DIRECTION('center_axis',(1.11548553568076E-18,3.08923389710601E-19, 1.)); #33228=DIRECTION('ref_axis',(0.266894892897331,-0.96372564360679,1.3945850596808E-33)); #33229=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33230=DIRECTION('ref_axis',(0.0085341906356798,-0.999963583132003,-5.63764598403815E-18)); #33231=DIRECTION('center_axis',(0.,0.,1.)); #33232=DIRECTION('ref_axis',(0.0085341906356798,-0.999963583132003,-5.63764598403815E-18)); #33233=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33234=DIRECTION('center_axis',(3.70098042801976E-20,3.15860227758008E-22, 1.)); #33235=DIRECTION('ref_axis',(0.0085341906356798,-0.999963583132003,1.98270585703214E-33)); #33236=DIRECTION('center_axis',(0.0399188360810203,-0.999202925599168,-5.63335750998015E-18)); #33237=DIRECTION('ref_axis',(0.999202925599168,0.0399188360810203,-4.81482486096809E-35)); #33238=DIRECTION('',(0.999202925599168,0.0399188360810203,0.)); #33239=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33240=DIRECTION('',(0.999202925599168,0.0399188360810203,-4.81482486096809E-35)); #33241=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33242=DIRECTION('ref_axis',(0.0793505624811429,0.996846772695747,5.62007387027784E-18)); #33243=DIRECTION('center_axis',(0.,0.,-1.)); #33244=DIRECTION('ref_axis',(0.0793505624811429,0.996846772695747,5.62007387027784E-18)); #33245=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33246=DIRECTION('center_axis',(3.43043094455476E-19,-2.73067669434319E-20, -1.)); #33247=DIRECTION('ref_axis',(0.0793505624811429,0.996846772695747,-2.22146309731395E-33)); #33248=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33249=DIRECTION('ref_axis',(-0.669349754938485,0.742947444684878,4.18862721456347E-18)); #33250=DIRECTION('center_axis',(0.,0.,-1.)); #33251=DIRECTION('ref_axis',(-0.669349754938485,0.742947444684878,4.18862721456347E-18)); #33252=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33253=DIRECTION('center_axis',(-2.15665892276671E-18,-1.94301647009781E-18, -1.)); #33254=DIRECTION('ref_axis',(-0.669349754938485,0.742947444684878,-1.66629597163267E-33)); #33255=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33256=DIRECTION('ref_axis',(-0.996037072915067,0.0889390205634475,5.01424972430837E-19)); #33257=DIRECTION('center_axis',(0.,0.,-1.)); #33258=DIRECTION('ref_axis',(-0.996037072915067,0.0889390205634475,5.01424972430837E-19)); #33259=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33260=DIRECTION('center_axis',(-3.84182970635792E-19,-4.30250388537736E-18, -1.)); #33261=DIRECTION('ref_axis',(-0.996037072915067,0.0889390205634475,-2.73091332473171E-34)); #33262=DIRECTION('center_axis',(5.1127980766314E-5,0.999999998692965,5.63785128955575E-18)); #33263=DIRECTION('ref_axis',(-0.999999998692965,5.1127980766314E-5,-9.4039548065783E-38)); #33264=DIRECTION('',(-0.999999998692965,5.1127980766314E-5,0.)); #33265=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33266=DIRECTION('',(-0.999999998692965,5.1127980766314E-5,-9.4039548065783E-38)); #33267=DIRECTION('center_axis',(0.0853080979741725,0.996354619811656,5.61729918550198E-18)); #33268=DIRECTION('ref_axis',(-0.996354619811656,0.0853080979741725,-1.92592994438724E-34)); #33269=DIRECTION('',(-0.996354619811656,0.0853080979741725,0.)); #33270=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33271=DIRECTION('',(-0.996354619811656,0.0853080979741725,-1.92592994438724E-34)); #33272=DIRECTION('center_axis',(0.174762450581178,0.984610626525461,5.55108829772234E-18)); #33273=DIRECTION('ref_axis',(-0.984610626525461,0.174762450581178,-1.92592994438724E-34)); #33274=DIRECTION('',(-0.984610626525461,0.174762450581178,0.)); #33275=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33276=DIRECTION('',(-0.984610626525461,0.174762450581178,-1.92592994438724E-34)); #33277=DIRECTION('center_axis',(0.31429390472651,0.94932572990084,5.35215729752537E-18)); #33278=DIRECTION('ref_axis',(-0.94932572990084,0.31429390472651,-3.85185988877447E-34)); #33279=DIRECTION('',(-0.94932572990084,0.31429390472651,0.)); #33280=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33281=DIRECTION('',(-0.94932572990084,0.31429390472651,-3.85185988877447E-34)); #33282=DIRECTION('center_axis',(0.502311667641371,0.864686641825433,4.87497470504892E-18)); #33283=DIRECTION('ref_axis',(-0.864686641825433,0.502311667641371,-1.15555796663234E-33)); #33284=DIRECTION('',(-0.864686641825433,0.502311667641371,0.)); #33285=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33286=DIRECTION('',(-0.864686641825433,0.502311667641371,-1.15555796663234E-33)); #33287=DIRECTION('center_axis',(0.676854654621835,0.736116686753368,4.15011641710033E-18)); #33288=DIRECTION('ref_axis',(-0.736116686753368,0.676854654621835,-7.70371977754894E-34)); #33289=DIRECTION('',(-0.736116686753368,0.676854654621835,0.)); #33290=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33291=DIRECTION('',(-0.736116686753368,0.676854654621835,-7.70371977754894E-34)); #33292=DIRECTION('center_axis',(0.82069749464507,0.571362951444443,3.22125935681573E-18)); #33293=DIRECTION('ref_axis',(-0.571362951444443,0.82069749464507,-7.70371977754894E-34)); #33294=DIRECTION('',(-0.571362951444443,0.82069749464507,0.)); #33295=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33296=DIRECTION('',(-0.571362951444443,0.82069749464507,-7.70371977754894E-34)); #33297=DIRECTION('center_axis',(0.922429609884751,0.386165268774738,2.1771423613889E-18)); #33298=DIRECTION('ref_axis',(-0.386165268774738,0.922429609884751,-1.54074395550979E-33)); #33299=DIRECTION('',(-0.386165268774738,0.922429609884751,0.)); #33300=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33301=DIRECTION('',(-0.386165268774738,0.922429609884751,-1.54074395550979E-33)); #33302=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33303=DIRECTION('ref_axis',(0.988005766768652,0.154416983625142,8.70579991398194E-19)); #33304=DIRECTION('center_axis',(0.,0.,1.)); #33305=DIRECTION('ref_axis',(0.988005766768652,0.154416983625142,8.70579991398194E-19)); #33306=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33307=DIRECTION('center_axis',(-6.61644655334475E-19,4.23339920049885E-18, 1.)); #33308=DIRECTION('ref_axis',(0.988005766768652,0.154416983625142,-3.58030247003847E-34)); #33309=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33310=DIRECTION('ref_axis',(0.858764286326819,-0.512370862295652,-2.88867073049993E-18)); #33311=DIRECTION('center_axis',(0.,0.,1.)); #33312=DIRECTION('ref_axis',(0.858764286326819,-0.512370862295652,-2.88867073049993E-18)); #33313=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33314=DIRECTION('center_axis',(1.9082209679315E-18,3.19829275680783E-18, 1.)); #33315=DIRECTION('ref_axis',(0.858764286326819,-0.512370862295652,9.34127335690069E-34)); #33316=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33317=DIRECTION('ref_axis',(0.125981356180202,-0.992032609290137,-5.59293233287791E-18)); #33318=DIRECTION('center_axis',(0.,0.,1.)); #33319=DIRECTION('ref_axis',(0.125981356180202,-0.992032609290137,-5.59293233287791E-18)); #33320=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33321=DIRECTION('center_axis',(5.420040002462E-19,6.88308008896735E-20, 1.)); #33322=DIRECTION('ref_axis',(0.125981356180202,-0.992032609290137,2.2167596953338E-33)); #33323=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33324=DIRECTION('ref_axis',(-0.48597252927318,-0.873974084736972,-4.92733592711285E-18)); #33325=DIRECTION('center_axis',(0.,0.,1.)); #33326=DIRECTION('ref_axis',(-0.48597252927318,-0.873974084736972,-4.92733592711285E-18)); #33327=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33328=DIRECTION('center_axis',(-1.84196146390588E-18,1.02422106910352E-18, 1.)); #33329=DIRECTION('ref_axis',(-0.48597252927318,-0.873974084736972,1.8175432051809E-33)); #33330=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33331=DIRECTION('ref_axis',(-0.999500593864593,-0.0316000453215757,-1.78156356499124E-19)); #33332=DIRECTION('center_axis',(0.,0.,1.)); #33333=DIRECTION('ref_axis',(-0.999500593864593,-0.0316000453215757,-1.78156356499124E-19)); #33334=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33335=DIRECTION('center_axis',(-1.36974910862789E-19,4.33247811383455E-18, 1.)); #33336=DIRECTION('ref_axis',(-0.999500593864593,-0.0316000453215757,7.57755123715873E-35)); #33337=DIRECTION('center_axis',(-0.99999187073621,-0.00403217825677113, -2.27328214143695E-20)); #33338=DIRECTION('ref_axis',(0.00403217825677113,-0.99999187073621,1.54074395550979E-33)); #33339=DIRECTION('',(0.00403217825677113,-0.99999187073621,0.)); #33340=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33341=DIRECTION('',(0.00403217825677113,-0.99999187073621,1.54074395550979E-33)); #33342=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33343=DIRECTION('ref_axis',(-0.989554901644199,-0.144156500484508,-8.12732913216702E-19)); #33344=DIRECTION('center_axis',(0.,0.,1.)); #33345=DIRECTION('ref_axis',(-0.989554901644199,-0.144156500484508,-8.12732913216702E-19)); #33346=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33347=DIRECTION('center_axis',(-6.18649106154736E-19,4.2466850494821E-18, 1.)); #33348=DIRECTION('ref_axis',(-0.989554901644199,-0.144156500484508,1.21900492236886E-34)); #33349=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33350=DIRECTION('ref_axis',(-0.719691552235215,0.694293936053936,3.91432596782858E-18)); #33351=DIRECTION('center_axis',(0.,0.,1.)); #33352=DIRECTION('ref_axis',(-0.719691552235215,0.694293936053936,3.91432596782858E-18)); #33353=DIRECTION('center_axis',(2.16700563980088E-18,2.24627577978675E-18, 1.)); #33354=DIRECTION('ref_axis',(-0.719691552235215,0.694293936053936,-8.21069022075206E-34)); #33355=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #33356=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #33357=DIRECTION('center_axis',(-0.843099130007356,0.537758177046932,3.03180063589586E-18)); #33358=DIRECTION('ref_axis',(-0.537758177046932,-0.843099130007356,1.54074395550979E-33)); #33359=DIRECTION('',(-0.537758177046932,-0.843099130007356,0.)); #33360=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33361=DIRECTION('',(-0.537758177046932,-0.843099130007356,1.54074395550979E-33)); #33362=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33363=DIRECTION('center_axis',(-0.769346183298999,0.638832098632547,3.60164037579258E-18)); #33364=DIRECTION('ref_axis',(-0.638832098632547,-0.769346183298998,1.54074395550979E-33)); #33365=DIRECTION('',(-0.638832098632547,-0.769346183298998,0.)); #33366=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33367=DIRECTION('',(-0.638832098632547,-0.769346183298998,1.54074395550979E-33)); #33368=DIRECTION('center_axis',(-0.681514250412203,0.731804841802166,4.12580687645006E-18)); #33369=DIRECTION('ref_axis',(-0.731804841802166,-0.681514250412203,7.70371977754894E-34)); #33370=DIRECTION('',(-0.731804841802166,-0.681514250412203,0.)); #33371=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33372=DIRECTION('',(-0.731804841802166,-0.681514250412203,7.70371977754894E-34)); #33373=DIRECTION('center_axis',(-0.583313796896651,0.81224689248406,4.57932719621425E-18)); #33374=DIRECTION('ref_axis',(-0.81224689248406,-0.583313796896651,1.15555796663234E-33)); #33375=DIRECTION('',(-0.81224689248406,-0.583313796896651,0.)); #33376=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33377=DIRECTION('',(-0.81224689248406,-0.583313796896651,1.15555796663234E-33)); #33378=DIRECTION('center_axis',(-0.480183548192537,0.877168034099069,4.94534293866626E-18)); #33379=DIRECTION('ref_axis',(-0.877168034099069,-0.480183548192537,3.85185988877447E-34)); #33380=DIRECTION('',(-0.877168034099069,-0.480183548192537,0.)); #33381=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33382=DIRECTION('',(-0.877168034099069,-0.480183548192537,3.85185988877447E-34)); #33383=DIRECTION('center_axis',(-0.377376409825149,0.92605995772816,5.22098833370767E-18)); #33384=DIRECTION('ref_axis',(-0.92605995772816,-0.377376409825149,1.15555796663234E-33)); #33385=DIRECTION('',(-0.92605995772816,-0.377376409825149,0.)); #33386=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33387=DIRECTION('',(-0.92605995772816,-0.377376409825149,7.70371977754894E-34)); #33388=DIRECTION('center_axis',(-0.279233371231536,0.960223267990664,5.41359599677837E-18)); #33389=DIRECTION('ref_axis',(-0.960223267990665,-0.279233371231536,5.77778983316171E-34)); #33390=DIRECTION('',(-0.960223267990665,-0.279233371231536,0.)); #33391=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33392=DIRECTION('',(-0.960223267990665,-0.279233371231536,5.77778983316171E-34)); #33393=DIRECTION('center_axis',(-0.188553429015582,0.982062933017261,5.53672478057296E-18)); #33394=DIRECTION('ref_axis',(-0.982062933017261,-0.188553429015582,1.92592994438724E-34)); #33395=DIRECTION('',(-0.982062933017261,-0.188553429015582,0.)); #33396=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33397=DIRECTION('',(-0.982062933017261,-0.188553429015582,1.92592994438724E-34)); #33398=DIRECTION('center_axis',(-0.106462717012373,0.994316694965112,5.60580966826286E-18)); #33399=DIRECTION('ref_axis',(-0.994316694965112,-0.106462717012373,1.92592994438724E-34)); #33400=DIRECTION('',(-0.994316694965112,-0.106462717012373,0.)); #33401=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33402=DIRECTION('',(-0.994316694965112,-0.106462717012373,1.92592994438724E-34)); #33403=DIRECTION('center_axis',(-0.0331977913715815,0.999448801414084,5.63474372126215E-18)); #33404=DIRECTION('ref_axis',(-0.999448801414084,-0.0331977913715815,4.81482486096809E-35)); #33405=DIRECTION('',(-0.999448801414084,-0.0331977913715815,0.)); #33406=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33407=DIRECTION('',(-0.999448801414084,-0.0331977913715815,4.81482486096809E-35)); #33408=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33409=DIRECTION('ref_axis',(0.00461389369223643,0.99998935593585,5.63779128727375E-18)); #33410=DIRECTION('center_axis',(0.,0.,1.)); #33411=DIRECTION('ref_axis',(0.00461389369223643,0.99998935593585,5.63779128727375E-18)); #33412=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33413=DIRECTION('center_axis',(-5.18092685684206E-21,3.21392375667135E-18, 1.)); #33414=DIRECTION('ref_axis',(0.00461389369223643,0.99998935593585,-3.21386564321496E-18)); #33415=DIRECTION('center_axis',(0.178724290946779,0.98389919596754,5.54707735802869E-18)); #33416=DIRECTION('ref_axis',(-0.98389919596754,0.178724290946779,-3.85185988877447E-34)); #33417=DIRECTION('',(-0.98389919596754,0.178724290946779,0.)); #33418=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33419=DIRECTION('',(-0.98389919596754,0.178724290946779,-3.85185988877447E-34)); #33420=DIRECTION('center_axis',(0.268809010558152,0.963193498650581,5.43034171555654E-18)); #33421=DIRECTION('ref_axis',(-0.963193498650581,0.268809010558152,-1.92592994438724E-34)); #33422=DIRECTION('',(-0.963193498650581,0.268809010558152,0.)); #33423=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33424=DIRECTION('',(-0.963193498650581,0.268809010558152,-3.85185988877447E-34)); #33425=DIRECTION('center_axis',(0.366381019075871,0.930464910064279,5.24582279994875E-18)); #33426=DIRECTION('ref_axis',(-0.930464910064279,0.366381019075871,-3.85185988877447E-34)); #33427=DIRECTION('',(-0.930464910064279,0.366381019075871,0.)); #33428=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33429=DIRECTION('',(-0.930464910064279,0.366381019075871,-3.85185988877447E-34)); #33430=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33431=DIRECTION('ref_axis',(0.907029729802747,-0.421066585297332,-2.37391079401018E-18)); #33432=DIRECTION('center_axis',(0.,0.,1.)); #33433=DIRECTION('ref_axis',(0.907029729802747,-0.421066585297332,-2.37391079401018E-18)); #33434=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33435=DIRECTION('center_axis',(1.65631358928221E-18,3.5679052193002E-18, 1.)); #33436=DIRECTION('ref_axis',(0.907029729802747,-0.421066585297332,1.36675660009851E-33)); #33437=DIRECTION('center_axis',(0.995991974574023,-0.0894426441030191,-5.04264327056573E-19)); #33438=DIRECTION('ref_axis',(0.0894426441030191,0.995991974574023,-1.54074395550979E-33)); #33439=DIRECTION('',(0.0894426441030191,0.995991974574023,0.)); #33440=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33441=DIRECTION('',(0.0894426441030191,0.995991974574023,-1.54074395550979E-33)); #33442=DIRECTION('center_axis',(0.985546423306588,-0.169405571064211,-9.55083418530618E-19)); #33443=DIRECTION('ref_axis',(0.169405571064211,0.985546423306588,-1.54074395550979E-33)); #33444=DIRECTION('',(0.169405571064211,0.985546423306588,0.)); #33445=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33446=DIRECTION('',(0.169405571064211,0.985546423306588,-1.54074395550979E-33)); #33447=DIRECTION('center_axis',(0.966041082873391,-0.258388517935309,-1.45675604095201E-18)); #33448=DIRECTION('ref_axis',(0.258388517935309,0.966041082873391,-1.54074395550979E-33)); #33449=DIRECTION('',(0.258388517935309,0.966041082873391,0.)); #33450=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33451=DIRECTION('',(0.258388517935309,0.966041082873391,-1.54074395550979E-33)); #33452=DIRECTION('center_axis',(0.934845595816811,-0.355054519731706,-2.00174458454835E-18)); #33453=DIRECTION('ref_axis',(0.355054519731706,0.934845595816811,-1.54074395550979E-33)); #33454=DIRECTION('',(0.355054519731706,0.934845595816811,0.)); #33455=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33456=DIRECTION('',(0.355054519731706,0.934845595816811,-1.54074395550979E-33)); #33457=DIRECTION('center_axis',(0.889396127639394,-0.457137318691059,-2.57727222505503E-18)); #33458=DIRECTION('ref_axis',(0.457137318691059,0.889396127639394,-1.54074395550979E-33)); #33459=DIRECTION('',(0.457137318691059,0.889396127639394,0.)); #33460=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33461=DIRECTION('',(0.457137318691059,0.889396127639394,-1.54074395550979E-33)); #33462=DIRECTION('center_axis',(0.828054841624792,-0.560647107601332,-3.16084502270721E-18)); #33463=DIRECTION('ref_axis',(0.560647107601332,0.828054841624792,-1.54074395550979E-33)); #33464=DIRECTION('',(0.560647107601332,0.828054841624792,0.)); #33465=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33466=DIRECTION('',(0.560647107601332,0.828054841624792,-1.54074395550979E-33)); #33467=DIRECTION('center_axis',(0.750856722973855,-0.660465125169349,-3.7236041625095E-18)); #33468=DIRECTION('ref_axis',(0.660465125169349,0.750856722973855,-1.54074395550979E-33)); #33469=DIRECTION('',(0.660465125169349,0.750856722973855,0.)); #33470=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33471=DIRECTION('',(0.660465125169349,0.750856722973855,-1.54074395550979E-33)); #33472=DIRECTION('center_axis',(0.660445651265729,-0.750873852071164,-4.2333151207262E-18)); #33473=DIRECTION('ref_axis',(0.750873852071164,0.660445651265729,-7.70371977754894E-34)); #33474=DIRECTION('',(0.750873852071164,0.660445651265729,0.)); #33475=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33476=DIRECTION('',(0.750873852071164,0.660445651265729,-7.70371977754894E-34)); #33477=DIRECTION('center_axis',(0.560587163753993,-0.828095424352927,-4.66867886216549E-18)); #33478=DIRECTION('ref_axis',(0.828095424352927,0.560587163753993,-7.70371977754894E-34)); #33479=DIRECTION('',(0.828095424352927,0.560587163753993,0.)); #33480=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33481=DIRECTION('',(0.828095424352927,0.560587163753993,-7.70371977754894E-34)); #33482=DIRECTION('center_axis',(0.457305875978378,-0.889309471329103,-5.01379455630013E-18)); #33483=DIRECTION('ref_axis',(0.889309471329103,0.457305875978378,-7.70371977754894E-34)); #33484=DIRECTION('',(0.889309471329103,0.457305875978378,0.)); #33485=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33486=DIRECTION('',(0.889309471329103,0.457305875978378,-7.70371977754894E-34)); #33487=DIRECTION('center_axis',(0.354954779142773,-0.934883471221791,-5.27073399070117E-18)); #33488=DIRECTION('ref_axis',(0.934883471221791,0.354954779142773,-7.70371977754894E-34)); #33489=DIRECTION('',(0.934883471221791,0.354954779142773,0.)); #33490=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33491=DIRECTION('',(0.934883471221791,0.354954779142773,-7.70371977754894E-34)); #33492=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33493=DIRECTION('ref_axis',(-0.0500640984269028,-0.998746006774846,-5.63078146959385E-18)); #33494=DIRECTION('center_axis',(0.,0.,1.)); #33495=DIRECTION('ref_axis',(-0.0500640984269028,-0.998746006774846,-5.63078146959385E-18)); #33496=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33497=DIRECTION('center_axis',(2.28733387462958E-18,4.99676228907135E-17, 1.)); #33498=DIRECTION('ref_axis',(-0.0500640984269028,-0.998746006774846,5.00194771383662E-17)); #33499=DIRECTION('center_axis',(-0.160073061690177,-0.98710516912897,-5.56515215797476E-18)); #33500=DIRECTION('ref_axis',(0.98710516912897,-0.160073061690177,1.92592994438724E-34)); #33501=DIRECTION('',(0.98710516912897,-0.160073061690177,0.)); #33502=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33503=DIRECTION('',(0.98710516912897,-0.160073061690177,1.92592994438724E-34)); #33504=DIRECTION('center_axis',(-0.248103385805339,-0.96873355983569,-5.46157575669405E-18)); #33505=DIRECTION('ref_axis',(0.96873355983569,-0.248103385805339,3.85185988877447E-34)); #33506=DIRECTION('',(0.96873355983569,-0.248103385805339,0.)); #33507=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33508=DIRECTION('',(0.968733559835689,-0.248103385805339,3.85185988877447E-34)); #33509=DIRECTION('center_axis',(-0.343950789600099,-0.938987675283051,-5.29387288289078E-18)); #33510=DIRECTION('ref_axis',(0.938987675283051,-0.343950789600099,3.85185988877447E-34)); #33511=DIRECTION('',(0.938987675283051,-0.343950789600099,0.)); #33512=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33513=DIRECTION('',(0.938987675283051,-0.343950789600099,3.85185988877447E-34)); #33514=DIRECTION('center_axis',(-0.445701960174294,-0.895181413288274,-5.04689969189011E-18)); #33515=DIRECTION('ref_axis',(0.895181413288274,-0.445701960174294,7.70371977754894E-34)); #33516=DIRECTION('',(0.895181413288274,-0.445701960174294,0.)); #33517=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33518=DIRECTION('',(0.895181413288274,-0.445701960174294,7.70371977754894E-34)); #33519=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33520=DIRECTION('ref_axis',(-0.909584245103412,0.415519555568274,2.34263746525813E-18)); #33521=DIRECTION('center_axis',(0.,0.,1.)); #33522=DIRECTION('ref_axis',(-0.909584245103412,0.415519555568274,2.34263746525813E-18)); #33523=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33524=DIRECTION('center_axis',(1.63909702337523E-18,3.58803047577152E-18, 1.)); #33525=DIRECTION('ref_axis',(-0.909584245103412,0.415519555568274,-4.37450912853914E-34)); #33526=DIRECTION('center_axis',(-0.995805673421416,0.0914935013086687,5.15826755013252E-19)); #33527=DIRECTION('ref_axis',(-0.0914935013086687,-0.995805673421416,1.54074395550979E-33)); #33528=DIRECTION('',(-0.0914935013086687,-0.995805673421416,0.)); #33529=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33530=DIRECTION('',(-0.0914935013086687,-0.995805673421416,1.54074395550979E-33)); #33531=DIRECTION('center_axis',(-0.988555393194936,0.150858326204439,8.50516810043574E-19)); #33532=DIRECTION('ref_axis',(-0.150858326204439,-0.988555393194936,2.31111593326468E-33)); #33533=DIRECTION('',(-0.150858326204439,-0.988555393194936,0.)); #33534=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33535=DIRECTION('',(-0.150858326204439,-0.988555393194936,1.54074395550979E-33)); #33536=DIRECTION('center_axis',(-0.971304761676165,0.237838306303272,1.34089700365025E-18)); #33537=DIRECTION('ref_axis',(-0.237838306303272,-0.971304761676165,1.54074395550979E-33)); #33538=DIRECTION('',(-0.237838306303272,-0.971304761676165,0.)); #33539=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33540=DIRECTION('',(-0.237838306303272,-0.971304761676165,7.70371977754894E-34)); #33541=DIRECTION('center_axis',(-0.942930994361914,0.332988197796337,1.87733794280667E-18)); #33542=DIRECTION('ref_axis',(-0.332988197796337,-0.942930994361914,7.70371977754894E-34)); #33543=DIRECTION('',(-0.332988197796337,-0.942930994361914,0.)); #33544=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33545=DIRECTION('',(-0.332988197796337,-0.942930994361914,7.70371977754894E-34)); #33546=DIRECTION('center_axis',(-0.90079863082384,0.434237062796227,2.44816398765845E-18)); #33547=DIRECTION('ref_axis',(-0.434237062796227,-0.90079863082384,1.54074395550979E-33)); #33548=DIRECTION('',(-0.434237062796227,-0.90079863082384,0.)); #33549=DIRECTION('',(-0.434237062796227,-0.90079863082384,1.54074395550979E-33)); #33550=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #33551=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #33552=DIRECTION('center_axis',(0.979124008489247,0.203263808878879,1.14597112850563E-18)); #33553=DIRECTION('ref_axis',(-0.203263808878879,0.979124008489247,-1.54074395550979E-33)); #33554=DIRECTION('',(-0.203263808878879,0.979124008489247,0.)); #33555=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33556=DIRECTION('',(-0.203263808878879,0.979124008489247,-1.54074395550979E-33)); #33557=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33558=DIRECTION('center_axis',(0.992710971640086,0.120519404186203,6.79470479195768E-19)); #33559=DIRECTION('ref_axis',(-0.120519404186203,0.992710971640085,-1.54074395550979E-33)); #33560=DIRECTION('',(-0.120519404186203,0.992710971640085,0.)); #33561=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33562=DIRECTION('',(-0.120519404186203,0.992710971640085,-1.54074395550979E-33)); #33563=DIRECTION('center_axis',(0.998910661427846,0.046663588436641,2.63082372586674E-19)); #33564=DIRECTION('ref_axis',(-0.046663588436641,0.998910661427846,-1.54074395550979E-33)); #33565=DIRECTION('',(-0.046663588436641,0.998910661427846,0.)); #33566=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33567=DIRECTION('',(-0.046663588436641,0.998910661427846,-1.54074395550979E-33)); #33568=DIRECTION('center_axis',(0.9999999996269,2.73166617154254E-5,1.54007276681057E-22)); #33569=DIRECTION('ref_axis',(-2.73166617154254E-5,0.9999999996269,-1.54074395550979E-33)); #33570=DIRECTION('',(-2.73166617154254E-5,0.9999999996269,0.)); #33571=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33572=DIRECTION('',(-2.73166617154254E-5,0.9999999996269,-1.54074395550979E-33)); #33573=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33574=DIRECTION('ref_axis',(0.0399990890534776,0.999199716210374,5.63333941592337E-18)); #33575=DIRECTION('center_axis',(0.,0.,1.)); #33576=DIRECTION('ref_axis',(0.0399990890534776,0.999199716210374,5.63333941592337E-18)); #33577=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33578=DIRECTION('center_axis',(-1.73329573050757E-19,6.93857785944431E-21, 1.)); #33579=DIRECTION('ref_axis',(0.0399990890534776,0.999199716210374,-1.82663673075583E-33)); #33580=DIRECTION('center_axis',(0.355624523003134,0.93462890958861,5.26929881006739E-18)); #33581=DIRECTION('ref_axis',(-0.93462890958861,0.355624523003134,0.)); #33582=DIRECTION('',(-0.93462890958861,0.355624523003134,0.)); #33583=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33584=DIRECTION('',(-0.93462890958861,0.355624523003134,0.)); #33585=DIRECTION('center_axis',(0.559021750017616,0.82915299131538,4.67464126743635E-18)); #33586=DIRECTION('ref_axis',(-0.82915299131538,0.559021750017616,-7.70371977754894E-34)); #33587=DIRECTION('',(-0.82915299131538,0.559021750017616,0.)); #33588=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33589=DIRECTION('',(-0.82915299131538,0.559021750017616,-7.70371977754894E-34)); #33590=DIRECTION('center_axis',(0.747680132980732,0.664059047635009,3.74386616294357E-18)); #33591=DIRECTION('ref_axis',(-0.664059047635009,0.747680132980732,-7.70371977754894E-34)); #33592=DIRECTION('',(-0.664059047635009,0.747680132980732,0.)); #33593=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33594=DIRECTION('',(-0.664059047635009,0.747680132980732,-7.70371977754894E-34)); #33595=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33596=DIRECTION('ref_axis',(0.950863212304922,-0.309611290952324,-1.74554241823806E-18)); #33597=DIRECTION('center_axis',(0.,0.,1.)); #33598=DIRECTION('ref_axis',(0.950863212304922,-0.309611290952324,-1.74554241823806E-18)); #33599=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33600=DIRECTION('center_axis',(1.27674774693873E-18,3.9210858887707E-18, 1.)); #33601=DIRECTION('ref_axis',(0.950863212304922,-0.309611290952324,7.04404761369848E-34)); #33602=DIRECTION('center_axis',(0.975973860920982,-0.217887638013248,-1.22841810255683E-18)); #33603=DIRECTION('ref_axis',(0.217887638013248,0.975973860920982,-1.54074395550979E-33)); #33604=DIRECTION('',(0.217887638013248,0.975973860920982,0.)); #33605=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33606=DIRECTION('',(0.217887638013248,0.975973860920982,-1.54074395550979E-33)); #33607=DIRECTION('center_axis',(0.912462058516547,-0.409161327312035,-2.30679071983755E-18)); #33608=DIRECTION('ref_axis',(0.409161327312035,0.912462058516547,-1.54074395550979E-33)); #33609=DIRECTION('',(0.409161327312035,0.912462058516547,0.)); #33610=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33611=DIRECTION('',(0.409161327312035,0.912462058516547,-1.54074395550979E-33)); #33612=DIRECTION('center_axis',(0.790559632696948,-0.612385064440722,-3.45253592977439E-18)); #33613=DIRECTION('ref_axis',(0.612385064440722,0.790559632696948,-1.54074395550979E-33)); #33614=DIRECTION('',(0.612385064440722,0.790559632696948,0.)); #33615=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33616=DIRECTION('',(0.612385064440722,0.790559632696948,-1.54074395550979E-33)); #33617=DIRECTION('center_axis',(0.61243214062372,-0.790523164196374,-4.45685204651349E-18)); #33618=DIRECTION('ref_axis',(0.790523164196374,0.61243214062372,-7.70371977754894E-34)); #33619=DIRECTION('',(0.790523164196374,0.61243214062372,0.)); #33620=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33621=DIRECTION('',(0.790523164196374,0.61243214062372,-7.70371977754894E-34)); #33622=DIRECTION('center_axis',(0.409125074906156,-0.912478313760405,-5.14441704464969E-18)); #33623=DIRECTION('ref_axis',(0.912478313760405,0.409125074906156,-7.70371977754894E-34)); #33624=DIRECTION('',(0.912478313760405,0.409125074906156,0.)); #33625=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33626=DIRECTION('',(0.912478313760405,0.409125074906156,-7.70371977754894E-34)); #33627=DIRECTION('center_axis',(0.217890628466804,-0.975973193292901,-5.50239173357004E-18)); #33628=DIRECTION('ref_axis',(0.975973193292901,0.217890628466804,-3.85185988877447E-34)); #33629=DIRECTION('',(0.975973193292901,0.217890628466804,0.)); #33630=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33631=DIRECTION('',(0.975973193292901,0.217890628466804,-3.85185988877447E-34)); #33632=DIRECTION('center_axis',(0.0948599249618685,-0.995490630109711,-5.61242814004034E-18)); #33633=DIRECTION('ref_axis',(0.995490630109711,0.0948599249618685,-1.92592994438724E-34)); #33634=DIRECTION('',(0.995490630109711,0.0948599249618685,0.)); #33635=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33636=DIRECTION('',(0.995490630109711,0.0948599249618685,-1.92592994438724E-34)); #33637=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33638=DIRECTION('ref_axis',(-0.0268839338466267,-0.999638561731654,-5.63581356171467E-18)); #33639=DIRECTION('center_axis',(0.,0.,1.)); #33640=DIRECTION('ref_axis',(-0.0268839338466267,-0.999638561731654,-5.63581356171467E-18)); #33641=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33642=DIRECTION('center_axis',(1.62434462687855E-19,1.0376689370474E-17, 1.)); #33643=DIRECTION('ref_axis',(-0.0268839338466267,-0.999638561731654,1.03773057151861E-17)); #33644=DIRECTION('center_axis',(-0.0604239165832835,-0.998172805833107, -5.62754984792107E-18)); #33645=DIRECTION('ref_axis',(0.998172805833107,-0.0604239165832835,9.62964972193618E-35)); #33646=DIRECTION('',(0.998172805833107,-0.0604239165832835,0.)); #33647=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33648=DIRECTION('',(0.998172805833107,-0.0604239165832835,9.62964972193618E-35)); #33649=DIRECTION('center_axis',(-0.136191080007056,-0.990682587777999,-5.58532111234483E-18)); #33650=DIRECTION('ref_axis',(0.990682587777999,-0.136191080007056,2.88889491658085E-34)); #33651=DIRECTION('',(0.990682587777999,-0.136191080007056,0.)); #33652=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33653=DIRECTION('',(0.990682587777998,-0.136191080007056,1.92592994438724E-34)); #33654=DIRECTION('center_axis',(-0.265937776973078,-0.963990196412089,-5.43483337906452E-18)); #33655=DIRECTION('ref_axis',(0.963990196412089,-0.265937776973078,1.92592994438724E-34)); #33656=DIRECTION('',(0.963990196412089,-0.265937776973078,0.)); #33657=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33658=DIRECTION('',(0.963990196412089,-0.265937776973078,3.85185988877447E-34)); #33659=DIRECTION('center_axis',(-0.463548913754795,-0.886071331528534,-4.99553840562587E-18)); #33660=DIRECTION('ref_axis',(0.886071331528534,-0.463548913754795,3.85185988877447E-34)); #33661=DIRECTION('',(0.886071331528534,-0.463548913754795,0.)); #33662=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33663=DIRECTION('',(0.886071331528534,-0.463548913754795,3.85185988877447E-34)); #33664=DIRECTION('center_axis',(-0.664518732526248,-0.747271606660997,-4.21300619676865E-18)); #33665=DIRECTION('ref_axis',(0.747271606660997,-0.664518732526248,7.70371977754894E-34)); #33666=DIRECTION('',(0.747271606660997,-0.664518732526248,0.)); #33667=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33668=DIRECTION('',(0.747271606660997,-0.664518732526248,7.70371977754894E-34)); #33669=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33670=DIRECTION('ref_axis',(-0.955284574126713,0.295687981554112,1.66704487028987E-18)); #33671=DIRECTION('center_axis',(0.,0.,1.)); #33672=DIRECTION('ref_axis',(-0.955284574126713,0.295687981554112,1.66704487028987E-18)); #33673=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33674=DIRECTION('center_axis',(1.22500172997307E-18,3.95763551082191E-18, 1.)); #33675=DIRECTION('ref_axis',(-0.955284574126713,0.295687981554112,-4.78482064723523E-34)); #33676=DIRECTION('center_axis',(-0.990377785431217,0.138390180736778,7.80223259948478E-19)); #33677=DIRECTION('ref_axis',(-0.138390180736778,-0.990377785431217,1.54074395550979E-33)); #33678=DIRECTION('',(-0.138390180736778,-0.990377785431217,0.)); #33679=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33680=DIRECTION('',(-0.138390180736778,-0.990377785431217,1.54074395550979E-33)); #33681=DIRECTION('center_axis',(-0.948901707609856,0.315571781525375,1.77914677774565E-18)); #33682=DIRECTION('ref_axis',(-0.315571781525375,-0.948901707609856,7.70371977754894E-34)); #33683=DIRECTION('',(-0.315571781525375,-0.948901707609856,0.)); #33684=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33685=DIRECTION('',(-0.315571781525375,-0.948901707609856,7.70371977754894E-34)); #33686=DIRECTION('center_axis',(-0.855862279227231,0.517203788651987,2.91591805062593E-18)); #33687=DIRECTION('ref_axis',(-0.517203788651987,-0.855862279227231,7.70371977754894E-34)); #33688=DIRECTION('',(-0.517203788651987,-0.855862279227231,0.)); #33689=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33690=DIRECTION('',(-0.517203788651987,-0.855862279227231,7.70371977754894E-34)); #33691=DIRECTION('center_axis',(-0.701583312738844,0.712587436941173,4.01746200553098E-18)); #33692=DIRECTION('ref_axis',(-0.712587436941173,-0.701583312738844,7.70371977754894E-34)); #33693=DIRECTION('',(-0.712587436941173,-0.701583312738844,0.)); #33694=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33695=DIRECTION('',(-0.712587436941173,-0.701583312738844,7.70371977754894E-34)); #33696=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33697=DIRECTION('ref_axis',(0.288395489323058,0.95751137943009,5.39830677234002E-18)); #33698=DIRECTION('center_axis',(0.,0.,1.)); #33699=DIRECTION('ref_axis',(0.288395489323058,0.95751137943009,5.39830677234002E-18)); #33700=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33701=DIRECTION('center_axis',(-1.19757486394231E-18,3.60700871349649E-19, 1.)); #33702=DIRECTION('ref_axis',(0.288395489323058,0.95751137943009,-1.85923706720565E-33)); #33703=DIRECTION('center_axis',(4.93038065763132E-32,1.,5.63785129692462E-18)); #33704=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #33705=DIRECTION('',(-1.,4.93038065763132E-32,0.)); #33706=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33707=DIRECTION('',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #33708=DIRECTION('center_axis',(-0.999999999984571,5.55495357845475E-6, 3.13180022355518E-23)); #33709=DIRECTION('ref_axis',(-5.55495357845475E-6,-0.999999999984571,1.54074395550979E-33)); #33710=DIRECTION('',(-5.55495357845475E-6,-0.999999999984571,0.)); #33711=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33712=DIRECTION('',(-5.55495357845475E-6,-0.999999999984571,1.54074395550979E-33)); #33713=DIRECTION('center_axis',(-0.998643478414548,0.0520692137456638,2.93558484245835E-19)); #33714=DIRECTION('ref_axis',(-0.0520692137456638,-0.998643478414548,1.54074395550979E-33)); #33715=DIRECTION('',(-0.0520692137456638,-0.998643478414548,0.)); #33716=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33717=DIRECTION('',(-0.0520692137456638,-0.998643478414548,1.54074395550979E-33)); #33718=DIRECTION('center_axis',(-0.978524855678137,0.206128859745746,1.16212385925115E-18)); #33719=DIRECTION('ref_axis',(-0.206128859745746,-0.978524855678137,1.54074395550979E-33)); #33720=DIRECTION('',(-0.206128859745746,-0.978524855678137,0.)); #33721=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33722=DIRECTION('',(-0.206128859745746,-0.978524855678137,1.54074395550979E-33)); #33723=DIRECTION('center_axis',(-0.918375479114723,0.395710095095895,2.23095467284256E-18)); #33724=DIRECTION('ref_axis',(-0.395710095095895,-0.918375479114723,1.54074395550979E-33)); #33725=DIRECTION('',(-0.395710095095895,-0.918375479114723,0.)); #33726=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33727=DIRECTION('',(-0.395710095095895,-0.918375479114723,1.54074395550979E-33)); #33728=DIRECTION('center_axis',(-0.80061716651422,0.599176228402581,3.37806647638589E-18)); #33729=DIRECTION('ref_axis',(-0.599176228402581,-0.80061716651422,1.54074395550979E-33)); #33730=DIRECTION('',(-0.599176228402581,-0.80061716651422,0.)); #33731=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33732=DIRECTION('',(-0.599176228402581,-0.80061716651422,1.54074395550979E-33)); #33733=DIRECTION('center_axis',(-0.625510598254236,0.780215669844965,4.39873992611635E-18)); #33734=DIRECTION('ref_axis',(-0.780215669844965,-0.625510598254236,1.54074395550979E-33)); #33735=DIRECTION('',(-0.780215669844965,-0.625510598254236,0.)); #33736=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33737=DIRECTION('',(-0.780215669844965,-0.625510598254236,1.54074395550979E-33)); #33738=DIRECTION('center_axis',(-0.422606315000193,0.906313357797378,5.10965993967806E-18)); #33739=DIRECTION('ref_axis',(-0.906313357797378,-0.422606315000193,7.70371977754894E-34)); #33740=DIRECTION('',(-0.906313357797378,-0.422606315000193,0.)); #33741=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33742=DIRECTION('',(-0.906313357797378,-0.422606315000193,7.70371977754894E-34)); #33743=DIRECTION('center_axis',(-0.229798480465047,0.973238233104287,5.48697243472363E-18)); #33744=DIRECTION('ref_axis',(-0.973238233104287,-0.229798480465047,3.85185988877447E-34)); #33745=DIRECTION('',(-0.973238233104287,-0.229798480465047,0.)); #33746=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33747=DIRECTION('',(-0.973238233104287,-0.229798480465047,3.85185988877447E-34)); #33748=DIRECTION('center_axis',(-0.067921516608825,0.997690667281977,5.62483162246529E-18)); #33749=DIRECTION('ref_axis',(-0.997690667281977,-0.067921516608825,1.44444745829043E-34)); #33750=DIRECTION('',(-0.997690667281977,-0.067921516608825,0.)); #33751=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33752=DIRECTION('',(-0.997690667281977,-0.067921516608825,1.44444745829043E-34)); #33753=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33754=DIRECTION('ref_axis',(0.0209764221256965,0.999779970650945,5.63661080417369E-18)); #33755=DIRECTION('center_axis',(0.,0.,1.)); #33756=DIRECTION('ref_axis',(0.0209764221256965,0.999779970650945,5.63661080417369E-18)); #33757=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33758=DIRECTION('center_axis',(-9.09507135281603E-20,1.90824042850121E-21, 1.)); #33759=DIRECTION('ref_axis',(0.0209764221256965,0.999779970650945,-2.49385171512862E-33)); #33760=DIRECTION('center_axis',(0.278585963379862,0.960411297834274,5.41465608107602E-18)); #33761=DIRECTION('ref_axis',(-0.960411297834274,0.278585963379862,-3.85185988877447E-34)); #33762=DIRECTION('',(-0.960411297834274,0.278585963379862,0.)); #33763=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33764=DIRECTION('',(-0.960411297834274,0.278585963379862,-3.85185988877447E-34)); #33765=DIRECTION('center_axis',(0.477148309598099,0.878822786827741,4.95467218848369E-18)); #33766=DIRECTION('ref_axis',(-0.878822786827741,0.477148309598099,-7.70371977754894E-34)); #33767=DIRECTION('',(-0.878822786827741,0.477148309598099,0.)); #33768=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33769=DIRECTION('',(-0.878822786827741,0.477148309598099,-7.70371977754894E-34)); #33770=DIRECTION('center_axis',(0.676586731732078,0.736362950211447,4.15150481385685E-18)); #33771=DIRECTION('ref_axis',(-0.736362950211446,0.676586731732078,-7.70371977754894E-34)); #33772=DIRECTION('',(-0.736362950211446,0.676586731732078,0.)); #33773=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33774=DIRECTION('',(-0.736362950211446,0.676586731732078,-7.70371977754894E-34)); #33775=DIRECTION('center_axis',(0.838139053129765,0.545456623040496,3.07520332962499E-18)); #33776=DIRECTION('ref_axis',(-0.545456623040496,0.838139053129765,-1.54074395550979E-33)); #33777=DIRECTION('',(-0.545456623040496,0.838139053129765,0.)); #33778=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33779=DIRECTION('',(-0.545456623040496,0.838139053129764,-1.54074395550979E-33)); #33780=DIRECTION('center_axis',(0.939495998584054,0.342559875999148,1.93130164117614E-18)); #33781=DIRECTION('ref_axis',(-0.342559875999148,0.939495998584054,-2.31111593326468E-33)); #33782=DIRECTION('',(-0.342559875999148,0.939495998584054,0.)); #33783=DIRECTION('',(-0.342559875999148,0.939495998584054,-2.31111593326468E-33)); #33784=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #33785=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #33786=DIRECTION('center_axis',(-0.641520471517844,0.767105914866731,4.32482907700995E-18)); #33787=DIRECTION('ref_axis',(-0.767105914866731,-0.641520471517844,7.70371977754894E-34)); #33788=DIRECTION('',(-0.767105914866731,-0.641520471517844,0.)); #33789=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33790=DIRECTION('',(-0.767105914866731,-0.641520471517844,7.70371977754894E-34)); #33791=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33792=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33793=DIRECTION('ref_axis',(0.246532366860205,0.969134558299468,5.46383652640313E-18)); #33794=DIRECTION('center_axis',(0.,0.,1.)); #33795=DIRECTION('ref_axis',(0.246532366860205,0.969134558299468,5.46383652640313E-18)); #33796=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33797=DIRECTION('center_axis',(-1.03616350076262E-18,2.63583460221869E-19, 1.)); #33798=DIRECTION('ref_axis',(0.246532366860205,0.969134558299468,-1.39490671998183E-33)); #33799=DIRECTION('center_axis',(0.269333256877232,0.96304703765699,5.42951599025387E-18)); #33800=DIRECTION('ref_axis',(-0.963047037656989,0.269333256877232,-3.85185988877447E-34)); #33801=DIRECTION('',(-0.963047037656989,0.269333256877232,0.)); #33802=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33803=DIRECTION('',(-0.963047037656989,0.269333256877232,-3.85185988877447E-34)); #33804=DIRECTION('center_axis',(0.465571983482472,0.885010015873378,4.98955486578301E-18)); #33805=DIRECTION('ref_axis',(-0.885010015873378,0.465571983482472,-7.70371977754894E-34)); #33806=DIRECTION('',(-0.885010015873378,0.465571983482472,0.)); #33807=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33808=DIRECTION('',(-0.885010015873378,0.465571983482472,-7.70371977754894E-34)); #33809=DIRECTION('center_axis',(0.664583584781116,0.747213931106401,4.21268103056837E-18)); #33810=DIRECTION('ref_axis',(-0.747213931106401,0.664583584781116,-1.54074395550979E-33)); #33811=DIRECTION('',(-0.747213931106401,0.664583584781116,0.)); #33812=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33813=DIRECTION('',(-0.747213931106401,0.664583584781116,-7.70371977754895E-34)); #33814=DIRECTION('center_axis',(0.828491242633243,0.56000201863924,3.15720810706565E-18)); #33815=DIRECTION('ref_axis',(-0.56000201863924,0.828491242633243,-7.70371977754895E-34)); #33816=DIRECTION('',(-0.56000201863924,0.828491242633243,0.)); #33817=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33818=DIRECTION('',(-0.56000201863924,0.828491242633243,-7.70371977754895E-34)); #33819=DIRECTION('center_axis',(0.933619864955949,0.358265191945347,2.01984587705202E-18)); #33820=DIRECTION('ref_axis',(-0.358265191945347,0.933619864955949,-1.54074395550979E-33)); #33821=DIRECTION('',(-0.358265191945347,0.933619864955949,0.)); #33822=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33823=DIRECTION('',(-0.358265191945347,0.933619864955949,-1.54074395550979E-33)); #33824=DIRECTION('center_axis',(0.9844287291149,0.175784178165224,9.91045056847637E-19)); #33825=DIRECTION('ref_axis',(-0.175784178165224,0.9844287291149,-1.54074395550979E-33)); #33826=DIRECTION('',(-0.175784178165224,0.9844287291149,0.)); #33827=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33828=DIRECTION('',(-0.175784178165224,0.9844287291149,-1.54074395550979E-33)); #33829=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33830=DIRECTION('ref_axis',(-0.99635116718211,-0.0853484133118241,-4.81181662680527E-19)); #33831=DIRECTION('center_axis',(0.,0.,-1.)); #33832=DIRECTION('ref_axis',(-0.99635116718211,-0.0853484133118241,-4.81181662680527E-19)); #33833=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33834=DIRECTION('center_axis',(3.68789162491054E-19,-4.30521785038468E-18, -1.)); #33835=DIRECTION('ref_axis',(-0.99635116718211,-0.0853484133118241,1.33558116941515E-34)); #33836=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33837=DIRECTION('ref_axis',(-0.158174862032911,-0.987411116516757,-5.56687704385179E-18)); #33838=DIRECTION('center_axis',(0.,0.,-1.)); #33839=DIRECTION('ref_axis',(-0.158174862032911,-0.987411116516757,-5.56687704385179E-18)); #33840=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33841=DIRECTION('center_axis',(6.77338467973414E-19,-1.08503861187248E-19, -1.)); #33842=DIRECTION('ref_axis',(-0.158174862032911,-0.987411116516757,2.20635568163936E-33)); #33843=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33844=DIRECTION('ref_axis',(0.103667423039866,-0.994612017522548,-5.60747465292631E-18)); #33845=DIRECTION('center_axis',(0.,0.,-1.)); #33846=DIRECTION('ref_axis',(0.103667423039866,-0.994612017522548,-5.60747465292631E-18)); #33847=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33848=DIRECTION('center_axis',(-4.47163420792492E-19,-4.66073993623346E-20, -1.)); #33849=DIRECTION('ref_axis',(0.103667423039866,-0.994612017522548,1.79058194473793E-33)); #33850=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33851=DIRECTION('ref_axis',(0.273669736129008,-0.961823723728563,-5.42261912823595E-18)); #33852=DIRECTION('center_axis',(0.,0.,-1.)); #33853=DIRECTION('ref_axis',(0.273669736129008,-0.961823723728563,-5.42261912823595E-18)); #33854=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33855=DIRECTION('center_axis',(-1.14154365073265E-18,-3.24805826648455E-19, -1.)); #33856=DIRECTION('ref_axis',(0.273669736129008,-0.961823723728563,1.29414072104746E-33)); #33857=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33858=DIRECTION('ref_axis',(0.9369914623375,0.349352257051006,1.96959607549856E-18)); #33859=DIRECTION('center_axis',(0.,0.,-1.)); #33860=DIRECTION('ref_axis',(0.9369914623375,0.349352257051006,1.96959607549856E-18)); #33861=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33862=DIRECTION('center_axis',(1.41961131307354E-18,-3.80751420190031E-18, -1.)); #33863=DIRECTION('ref_axis',(0.9369914623375,0.349352257051006,-8.15492043254885E-34)); #33864=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33865=DIRECTION('ref_axis',(0.35495980007146,0.934881564869706,5.27072324297159E-18)); #33866=DIRECTION('center_axis',(0.,0.,-1.)); #33867=DIRECTION('ref_axis',(0.35495980007146,0.934881564869706,5.27072324297159E-18)); #33868=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33869=DIRECTION('center_axis',(1.43914989889015E-18,-5.46422541184781E-19, -1.)); #33870=DIRECTION('ref_axis',(0.35495980007146,0.934881564869706,-9.17381587079787E-34)); #33871=DIRECTION('center_axis',(-0.520750884314826,-0.853708683618322,-4.81308260913337E-18)); #33872=DIRECTION('ref_axis',(0.853708683618321,-0.520750884314825,7.70371977754894E-34)); #33873=DIRECTION('',(0.853708683618321,-0.520750884314825,0.)); #33874=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33875=DIRECTION('',(0.853708683618321,-0.520750884314825,7.70371977754894E-34)); #33876=DIRECTION('center_axis',(-0.588331285159535,-0.808619996600709,-4.55887929655449E-18)); #33877=DIRECTION('ref_axis',(0.808619996600709,-0.588331285159535,7.70371977754894E-34)); #33878=DIRECTION('',(0.808619996600709,-0.588331285159535,0.)); #33879=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33880=DIRECTION('',(0.808619996600709,-0.588331285159535,7.70371977754894E-34)); #33881=DIRECTION('center_axis',(-0.678255641840448,-0.734826023158953,-4.14283984768067E-18)); #33882=DIRECTION('ref_axis',(0.734826023158953,-0.678255641840448,7.70371977754894E-34)); #33883=DIRECTION('',(0.734826023158953,-0.678255641840448,0.)); #33884=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33885=DIRECTION('',(0.734826023158953,-0.678255641840448,7.70371977754894E-34)); #33886=DIRECTION('center_axis',(-0.760102879662898,-0.649802748784714,-3.66349126998109E-18)); #33887=DIRECTION('ref_axis',(0.649802748784714,-0.760102879662898,7.70371977754894E-34)); #33888=DIRECTION('',(0.649802748784714,-0.760102879662898,0.)); #33889=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33890=DIRECTION('',(0.649802748784714,-0.760102879662898,7.70371977754894E-34)); #33891=DIRECTION('center_axis',(-0.835873112936914,-0.548922707736848,-3.09474459972557E-18)); #33892=DIRECTION('ref_axis',(0.548922707736848,-0.835873112936914,1.54074395550979E-33)); #33893=DIRECTION('',(0.548922707736848,-0.835873112936914,0.)); #33894=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33895=DIRECTION('',(0.548922707736848,-0.835873112936914,1.54074395550979E-33)); #33896=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33897=DIRECTION('ref_axis',(-0.962826349316941,0.270121122944898,1.52290272332163E-18)); #33898=DIRECTION('center_axis',(0.,0.,1.)); #33899=DIRECTION('ref_axis',(-0.962826349316941,0.270121122944898,1.52290272332163E-18)); #33900=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33901=DIRECTION('center_axis',(1.12791605343122E-18,4.02037161781934E-18, 1.)); #33902=DIRECTION('ref_axis',(-0.962826349316941,0.270121122944898,-1.92191318442989E-34)); #33903=DIRECTION('center_axis',(-0.976185029278282,0.216939596692167,1.22307318656524E-18)); #33904=DIRECTION('ref_axis',(-0.216939596692167,-0.976185029278282,1.54074395550979E-33)); #33905=DIRECTION('',(-0.216939596692167,-0.976185029278282,0.)); #33906=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33907=DIRECTION('',(-0.216939596692167,-0.976185029278282,1.54074395550979E-33)); #33908=DIRECTION('center_axis',(-0.913860091646556,0.406029226652156,2.28913240207016E-18)); #33909=DIRECTION('ref_axis',(-0.406029226652156,-0.913860091646556,1.54074395550979E-33)); #33910=DIRECTION('',(-0.406029226652156,-0.913860091646556,0.)); #33911=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33912=DIRECTION('',(-0.406029226652156,-0.913860091646556,1.54074395550979E-33)); #33913=DIRECTION('center_axis',(-0.794238576736143,0.607606026323098,3.42559242352489E-18)); #33914=DIRECTION('ref_axis',(-0.607606026323098,-0.794238576736143,1.54074395550979E-33)); #33915=DIRECTION('',(-0.607606026323098,-0.794238576736143,0.)); #33916=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33917=DIRECTION('',(-0.607606026323098,-0.794238576736143,1.54074395550979E-33)); #33918=DIRECTION('center_axis',(-0.619126573516201,0.78529121093139,4.42735507201304E-18)); #33919=DIRECTION('ref_axis',(-0.78529121093139,-0.619126573516201,7.70371977754894E-34)); #33920=DIRECTION('',(-0.78529121093139,-0.619126573516201,0.)); #33921=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33922=DIRECTION('',(-0.78529121093139,-0.619126573516201,7.70371977754894E-34)); #33923=DIRECTION('center_axis',(-0.417818162628015,0.908530672557701,5.12216083057524E-18)); #33924=DIRECTION('ref_axis',(-0.908530672557701,-0.417818162628015,1.15555796663234E-33)); #33925=DIRECTION('',(-0.908530672557701,-0.417818162628015,0.)); #33926=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33927=DIRECTION('',(-0.908530672557701,-0.417818162628015,1.15555796663234E-33)); #33928=DIRECTION('center_axis',(-0.22728241850737,0.973828887555427,5.49030245668703E-18)); #33929=DIRECTION('ref_axis',(-0.973828887555427,-0.22728241850737,3.85185988877447E-34)); #33930=DIRECTION('',(-0.973828887555427,-0.22728241850737,0.)); #33931=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33932=DIRECTION('',(-0.973828887555427,-0.22728241850737,3.85185988877447E-34)); #33933=DIRECTION('center_axis',(-0.0672346955853879,0.997737187695006,5.62509389763622E-18)); #33934=DIRECTION('ref_axis',(-0.997737187695006,-0.0672346955853878,9.62964972193618E-35)); #33935=DIRECTION('',(-0.997737187695006,-0.0672346955853878,0.)); #33936=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33937=DIRECTION('',(-0.997737187695006,-0.0672346955853878,9.62964972193618E-35)); #33938=DIRECTION('center_axis',(4.93038065763132E-32,1.,5.63785129692462E-18)); #33939=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #33940=DIRECTION('',(-1.,4.93038065763132E-32,0.)); #33941=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33942=DIRECTION('',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #33943=DIRECTION('center_axis',(7.78045370331436E-6,0.999999999969732,5.63785129675398E-18)); #33944=DIRECTION('ref_axis',(-0.999999999969732,7.78045370331436E-6,-1.17549435082229E-38)); #33945=DIRECTION('',(-0.999999999969732,7.78045370331436E-6,0.)); #33946=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33947=DIRECTION('',(-0.999999999969732,7.78045370331436E-6,-1.17549435082229E-38)); #33948=DIRECTION('center_axis',(0.0842171074587279,0.996447429025378,5.61782243004793E-18)); #33949=DIRECTION('ref_axis',(-0.996447429025378,0.0842171074587279,-9.62964972193618E-35)); #33950=DIRECTION('',(-0.996447429025378,0.0842171074587279,0.)); #33951=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33952=DIRECTION('',(-0.996447429025378,0.0842171074587279,-9.62964972193618E-35)); #33953=DIRECTION('center_axis',(0.248264736489305,0.968692221820685,5.46134269911255E-18)); #33954=DIRECTION('ref_axis',(-0.968692221820685,0.248264736489305,-3.85185988877447E-34)); #33955=DIRECTION('',(-0.968692221820685,0.248264736489305,0.)); #33956=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33957=DIRECTION('',(-0.968692221820685,0.248264736489305,-3.85185988877447E-34)); #33958=DIRECTION('center_axis',(0.441663245575862,0.897180905674768,5.0581725326345E-18)); #33959=DIRECTION('ref_axis',(-0.897180905674767,0.441663245575862,-3.85185988877447E-34)); #33960=DIRECTION('',(-0.897180905674767,0.441663245575862,0.)); #33961=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33962=DIRECTION('',(-0.897180905674767,0.441663245575862,-3.85185988877447E-34)); #33963=DIRECTION('center_axis',(0.641808215963534,0.766865186275727,4.32347188501095E-18)); #33964=DIRECTION('ref_axis',(-0.766865186275727,0.641808215963534,-1.54074395550979E-33)); #33965=DIRECTION('',(-0.766865186275727,0.641808215963534,0.)); #33966=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33967=DIRECTION('',(-0.766865186275727,0.641808215963534,-1.54074395550979E-33)); #33968=DIRECTION('center_axis',(0.811438603232847,0.584437672625171,3.29497269058143E-18)); #33969=DIRECTION('ref_axis',(-0.584437672625171,0.811438603232847,-1.54074395550979E-33)); #33970=DIRECTION('',(-0.584437672625171,0.811438603232847,0.)); #33971=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33972=DIRECTION('',(-0.584437672625171,0.811438603232847,-1.54074395550979E-33)); #33973=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #33974=DIRECTION('ref_axis',(0.966717529810264,-0.255846081770936,-1.44242216392535E-18)); #33975=DIRECTION('center_axis',(0.,0.,1.)); #33976=DIRECTION('ref_axis',(0.966717529810264,-0.255846081770936,-1.44242216392535E-18)); #33977=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33978=DIRECTION('center_axis',(1.07262676250269E-18,4.05293326001905E-18, 1.)); #33979=DIRECTION('ref_axis',(0.966717529810264,-0.255846081770936,1.90447872166032E-34)); #33980=DIRECTION('center_axis',(0.962946524552319,-0.269692400435406,-1.52048564956547E-18)); #33981=DIRECTION('ref_axis',(0.269692400435406,0.962946524552318,-1.54074395550979E-33)); #33982=DIRECTION('',(0.269692400435406,0.962946524552318,0.)); #33983=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33984=DIRECTION('',(0.269692400435406,0.962946524552318,-1.54074395550979E-33)); #33985=DIRECTION('center_axis',(0.885080593903111,-0.465437796376826,-2.62406908394083E-18)); #33986=DIRECTION('ref_axis',(0.465437796376826,0.885080593903111,-1.54074395550979E-33)); #33987=DIRECTION('',(0.465437796376826,0.885080593903111,0.)); #33988=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33989=DIRECTION('',(0.465437796376826,0.885080593903111,-1.54074395550979E-33)); #33990=DIRECTION('center_axis',(0.747609514940238,-0.664138549679825,-3.74431438365004E-18)); #33991=DIRECTION('ref_axis',(0.664138549679825,0.747609514940238,-1.54074395550979E-33)); #33992=DIRECTION('',(0.664138549679825,0.747609514940238,0.)); #33993=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33994=DIRECTION('',(0.664138549679825,0.747609514940238,-7.70371977754894E-34)); #33995=DIRECTION('center_axis',(0.560874541867691,-0.827900808240158,-4.66758164546172E-18)); #33996=DIRECTION('ref_axis',(0.827900808240159,0.560874541867691,-7.70371977754894E-34)); #33997=DIRECTION('',(0.827900808240159,0.560874541867691,0.)); #33998=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #33999=DIRECTION('',(0.827900808240159,0.560874541867691,-7.70371977754894E-34)); #34000=DIRECTION('center_axis',(0.359410607809691,-0.933179519167598,-5.26112736240254E-18)); #34001=DIRECTION('ref_axis',(0.933179519167598,0.359410607809691,-7.70371977754894E-34)); #34002=DIRECTION('',(0.933179519167598,0.359410607809691,0.)); #34003=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34004=DIRECTION('',(0.933179519167598,0.359410607809691,-7.70371977754894E-34)); #34005=DIRECTION('center_axis',(0.176573880047648,-0.984287389376151,-5.54926593474088E-18)); #34006=DIRECTION('ref_axis',(0.984287389376151,0.176573880047648,-1.92592994438724E-34)); #34007=DIRECTION('',(0.984287389376151,0.176573880047648,0.)); #34008=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34009=DIRECTION('',(0.984287389376151,0.176573880047648,-1.92592994438724E-34)); #34010=DIRECTION('center_axis',(0.0305056454749543,-0.999534594495937,-5.63522740989994E-18)); #34011=DIRECTION('ref_axis',(0.999534594495937,0.0305056454749543,-2.40741243048404E-35)); #34012=DIRECTION('',(0.999534594495937,0.0305056454749543,0.)); #34013=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34014=DIRECTION('',(0.999534594495937,0.0305056454749543,-2.40741243048404E-35)); #34015=DIRECTION('center_axis',(-9.04476596905906E-7,-0.999999999999591, -5.63785129692232E-18)); #34016=DIRECTION('ref_axis',(0.999999999999591,-9.04476596905906E-7,1.46936793852786E-39)); #34017=DIRECTION('',(0.999999999999591,-9.04476596905906E-7,0.)); #34018=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34019=DIRECTION('',(0.999999999999591,-9.04476596905906E-7,1.46936793852786E-39)); #34020=DIRECTION('center_axis',(0.264865926380267,-0.964285248794527,-5.43649684052151E-18)); #34021=DIRECTION('ref_axis',(0.964285248794527,0.264865926380267,-5.77778983316171E-34)); #34022=DIRECTION('',(0.964285248794527,0.264865926380267,0.)); #34023=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34024=DIRECTION('',(0.964285248794527,0.264865926380267,-5.77778983316171E-34)); #34025=DIRECTION('center_axis',(0.521588500779163,0.85319718462671,4.81019885388014E-18)); #34026=DIRECTION('ref_axis',(-0.85319718462671,0.521588500779163,-7.70371977754895E-34)); #34027=DIRECTION('',(-0.85319718462671,0.521588500779163,0.)); #34028=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34029=DIRECTION('',(-0.85319718462671,0.521588500779163,-7.70371977754895E-34)); #34030=DIRECTION('center_axis',(0.52144098266589,0.853287349956877,4.81070719260376E-18)); #34031=DIRECTION('ref_axis',(-0.853287349956877,0.52144098266589,-3.85185988877447E-34)); #34032=DIRECTION('',(-0.853287349956877,0.52144098266589,0.)); #34033=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34034=DIRECTION('',(-0.853287349956878,0.52144098266589,-7.70371977754895E-34)); #34035=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34036=DIRECTION('ref_axis',(0.527393326249102,0.849621256459552,4.79003830262521E-18)); #34037=DIRECTION('center_axis',(0.,0.,1.)); #34038=DIRECTION('ref_axis',(0.527393326249102,0.849621256459552,4.79003830262521E-18)); #34039=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34040=DIRECTION('center_axis',(-1.9432571025247E-18,1.20625610442985E-18, 1.)); #34041=DIRECTION('ref_axis',(0.527393326249102,0.849621256459552,-1.82202556331085E-33)); #34042=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34043=DIRECTION('ref_axis',(0.994607063903793,-0.103714938329423,-5.84729399570993E-19)); #34044=DIRECTION('center_axis',(0.,0.,1.)); #34045=DIRECTION('ref_axis',(0.994607063903793,-0.103714938329423,-5.84729399570993E-19)); #34046=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34047=DIRECTION('center_axis',(4.47366147142719E-19,4.29015855639133E-18, 1.)); #34048=DIRECTION('ref_axis',(0.994607063903793,-0.103714938329423,0.)); #34049=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34050=DIRECTION('ref_axis',(0.180175338297566,-0.983634509088288,-5.54558509276322E-18)); #34051=DIRECTION('center_axis',(0.,0.,1.)); #34052=DIRECTION('ref_axis',(0.180175338297566,-0.983634509088288,-5.54558509276322E-18)); #34053=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34054=DIRECTION('center_axis',(7.68598207805038E-19,1.40786481997804E-19, 1.)); #34055=DIRECTION('ref_axis',(0.180175338297566,-0.983634509088288,2.38814385487122E-33)); #34056=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34057=DIRECTION('ref_axis',(-0.100172885912298,-0.99497004624662,-5.60949316563266E-18)); #34058=DIRECTION('center_axis',(0.,0.,1.)); #34059=DIRECTION('ref_axis',(-0.100172885912298,-0.99497004624662,-5.60949316563266E-18)); #34060=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34061=DIRECTION('center_axis',(-4.32245476082105E-19,4.35181711499973E-20, 1.)); #34062=DIRECTION('ref_axis',(-0.100172885912298,-0.99497004624662,1.6617297510523E-33)); #34063=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34064=DIRECTION('ref_axis',(-0.455470817852251,-0.890250714172699,-5.01910114348662E-18)); #34065=DIRECTION('center_axis',(0.,0.,1.)); #34066=DIRECTION('ref_axis',(-0.455470817852251,-0.890250714172699,-5.01910114348662E-18)); #34067=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34068=DIRECTION('center_axis',(-1.75850315592847E-18,8.99686861100493E-19, 1.)); #34069=DIRECTION('ref_axis',(-0.455470817852251,-0.890250714172699,1.89201655649356E-33)); #34070=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34071=DIRECTION('ref_axis',(-0.983118064467943,0.182972323909398,1.03157075365391E-18)); #34072=DIRECTION('center_axis',(0.,0.,1.)); #34073=DIRECTION('ref_axis',(-0.983118064467943,0.182972323909398,1.03157075365391E-18)); #34074=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34075=DIRECTION('center_axis',(7.80119878995362E-19,4.19161722988584E-18, 1.)); #34076=DIRECTION('ref_axis',(-0.983118064467943,0.182972323909398,-4.01054777759361E-34)); #34077=DIRECTION('center_axis',(-0.939283705092396,0.343141547102507,1.93458101636059E-18)); #34078=DIRECTION('ref_axis',(-0.343141547102506,-0.939283705092395,7.70371977754894E-34)); #34079=DIRECTION('',(-0.343141547102506,-0.939283705092395,0.)); #34080=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34081=DIRECTION('',(-0.343141547102506,-0.939283705092395,7.70371977754894E-34)); #34082=DIRECTION('center_axis',(-0.818124911756962,0.575040545320644,3.24199308422023E-18)); #34083=DIRECTION('ref_axis',(-0.575040545320644,-0.818124911756962,1.54074395550979E-33)); #34084=DIRECTION('',(-0.575040545320644,-0.818124911756962,0.)); #34085=DIRECTION('',(-0.575040545320644,-0.818124911756962,1.54074395550979E-33)); #34086=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #34087=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #34088=DIRECTION('center_axis',(0.997812272186099,0.0661110389784814,3.72724206845867E-19)); #34089=DIRECTION('ref_axis',(-0.0661110389784814,0.997812272186099,-1.54074395550979E-33)); #34090=DIRECTION('',(-0.0661110389784814,0.997812272186099,0.)); #34091=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34092=DIRECTION('',(-0.0661110389784814,0.997812272186099,-1.54074395550979E-33)); #34093=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34094=DIRECTION('center_axis',(0.955927727593224,-0.293602076999558,-1.65528485059172E-18)); #34095=DIRECTION('ref_axis',(0.293602076999558,0.955927727593224,-1.54074395550979E-33)); #34096=DIRECTION('',(0.293602076999558,0.955927727593224,0.)); #34097=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34098=DIRECTION('',(0.293602076999558,0.955927727593224,-1.54074395550979E-33)); #34099=DIRECTION('center_axis',(0.935826592808462,-0.352460761209676,-1.98712135970101E-18)); #34100=DIRECTION('ref_axis',(0.352460761209676,0.935826592808463,-1.54074395550979E-33)); #34101=DIRECTION('',(0.352460761209676,0.935826592808463,0.)); #34102=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34103=DIRECTION('',(0.352460761209676,0.935826592808463,-1.54074395550979E-33)); #34104=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34105=DIRECTION('ref_axis',(0.879198144062604,-0.476456318540192,-2.68618987340975E-18)); #34106=DIRECTION('center_axis',(0.,0.,1.)); #34107=DIRECTION('ref_axis',(0.879198144062604,-0.476456318540192,-2.68618987340975E-18)); #34108=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34109=DIRECTION('center_axis',(1.81668703946278E-18,3.35230704533836E-18, 1.)); #34110=DIRECTION('ref_axis',(0.879198144062604,-0.476456318540192,6.38390037793603E-34)); #34111=DIRECTION('center_axis',(-0.925484378827645,-0.378785776588836,-2.13553788179797E-18)); #34112=DIRECTION('ref_axis',(0.378785776588836,-0.925484378827645,7.70371977754894E-34)); #34113=DIRECTION('',(0.378785776588836,-0.925484378827645,0.)); #34114=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34115=DIRECTION('',(0.378785776588836,-0.925484378827645,7.70371977754894E-34)); #34116=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34117=DIRECTION('ref_axis',(0.843474641047435,0.537168995670731,3.02847891890993E-18)); #34118=DIRECTION('center_axis',(0.,0.,-1.)); #34119=DIRECTION('ref_axis',(0.843474641047435,0.537168995670731,3.02847891890993E-18)); #34120=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34121=DIRECTION('center_axis',(1.96495782234406E-18,-3.08542024434139E-18, -1.)); #34122=DIRECTION('ref_axis',(0.843474641047435,0.537168995670731,-4.24956147385797E-34)); #34123=DIRECTION('center_axis',(-0.942313323951244,-0.334732130970359,-1.88716997871358E-18)); #34124=DIRECTION('ref_axis',(0.334732130970359,-0.942313323951244,2.31111593326468E-33)); #34125=DIRECTION('',(0.334732130970359,-0.942313323951244,0.)); #34126=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34127=DIRECTION('',(0.334732130970359,-0.942313323951244,2.31111593326468E-33)); #34128=DIRECTION('center_axis',(-0.963459250576406,-0.267854946713236,-1.5101263587149E-18)); #34129=DIRECTION('ref_axis',(0.267854946713236,-0.963459250576406,1.54074395550979E-33)); #34130=DIRECTION('',(0.267854946713236,-0.963459250576406,0.)); #34131=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34132=DIRECTION('',(0.267854946713236,-0.963459250576406,1.54074395550979E-33)); #34133=DIRECTION('center_axis',(-0.980624993917688,-0.195894413661886,-1.10442357412396E-18)); #34134=DIRECTION('ref_axis',(0.195894413661886,-0.980624993917688,2.31111593326468E-33)); #34135=DIRECTION('',(0.195894413661886,-0.980624993917688,0.)); #34136=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34137=DIRECTION('',(0.195894413661886,-0.980624993917688,1.54074395550979E-33)); #34138=DIRECTION('center_axis',(-0.99267334753727,-0.120828908333852,-6.81215417555992E-19)); #34139=DIRECTION('ref_axis',(0.120828908333851,-0.99267334753727,1.54074395550979E-33)); #34140=DIRECTION('',(0.120828908333851,-0.99267334753727,0.)); #34141=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34142=DIRECTION('',(0.120828908333851,-0.99267334753727,1.54074395550979E-33)); #34143=DIRECTION('center_axis',(4.93038065763132E-32,1.,5.63785129692462E-18)); #34144=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #34145=DIRECTION('',(-1.,4.93038065763132E-32,0.)); #34146=DIRECTION('',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #34147=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #34148=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #34149=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34150=DIRECTION('ref_axis',(0.374952327761412,-0.927044093830654,-5.22653674670946E-18)); #34151=DIRECTION('center_axis',(0.,0.,-1.)); #34152=DIRECTION('ref_axis',(0.374952327761412,-0.927044093830654,-5.22653674670946E-18)); #34153=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34154=DIRECTION('center_axis',(-1.50746316869942E-18,-6.09708672845173E-19, -1.)); #34155=DIRECTION('ref_axis',(0.374952327761412,-0.927044093830654,2.14165188216004E-33)); #34156=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34157=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34158=DIRECTION('ref_axis',(0.994312930537188,-0.106497869305209,-6.00419150582081E-19)); #34159=DIRECTION('center_axis',(0.,0.,-1.)); #34160=DIRECTION('ref_axis',(0.994312930537188,-0.106497869305209,-6.00419150582081E-19)); #34161=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34162=DIRECTION('center_axis',(-4.5923425012763E-19,-4.28762148976738E-18, -1.)); #34163=DIRECTION('ref_axis',(0.994312930537188,-0.106497869305209,9.46482252265382E-35)); #34164=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34165=DIRECTION('ref_axis',(0.967888508780323,0.251379861108648,1.41724227597212E-18)); #34166=DIRECTION('center_axis',(0.,0.,-1.)); #34167=DIRECTION('ref_axis',(0.967888508780323,0.251379861108648,1.41724227597212E-18)); #34168=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34169=DIRECTION('center_axis',(1.05517885620854E-18,-4.06275779264114E-18, -1.)); #34170=DIRECTION('ref_axis',(0.967888508780323,0.251379861108648,-3.02764750987558E-34)); #34171=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34172=DIRECTION('ref_axis',(0.0794483652967396,0.996838982610369,5.62002995093489E-18)); #34173=DIRECTION('center_axis',(0.,0.,-1.)); #34174=DIRECTION('ref_axis',(0.0794483652967396,0.996838982610369,5.62002995093489E-18)); #34175=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34176=DIRECTION('center_axis',(-5.18160347337834E-18,-6.93504098559409E-17, -1.)); #34177=DIRECTION('ref_axis',(0.0794483652967396,0.996838982610369,-6.95428619299841E-17)); #34178=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34179=DIRECTION('ref_axis',(-0.15663016601096,0.987657324731295,5.56826512915344E-18)); #34180=DIRECTION('center_axis',(0.,0.,-1.)); #34181=DIRECTION('ref_axis',(-0.15663016601096,0.987657324731295,5.56826512915344E-18)); #34182=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34183=DIRECTION('center_axis',(-6.7089099351719E-19,-1.06394966207987E-19, -1.)); #34184=DIRECTION('ref_axis',(-0.15663016601096,0.987657324731295,-2.01435719910676E-33)); #34185=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34186=DIRECTION('ref_axis',(-0.996716546711481,0.0809699049742551,4.56496283770966E-19)); #34187=DIRECTION('center_axis',(0.,0.,-1.)); #34188=DIRECTION('ref_axis',(-0.996716546711481,0.0809699049742551,4.56496283770966E-19)); #34189=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34190=DIRECTION('center_axis',(-3.49997999651402E-19,-4.30837602785122E-18, -1.)); #34191=DIRECTION('ref_axis',(-0.996716546711481,0.0809699049742551,-9.98968465110803E-35)); #34192=DIRECTION('center_axis',(1.,-4.93038065763132E-32,1.09537265587024E-33)); #34193=DIRECTION('ref_axis',(4.93038065763132E-32,1.,-1.54074395550979E-33)); #34194=DIRECTION('',(4.93038065763132E-32,1.,0.)); #34195=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34196=DIRECTION('',(4.93038065763132E-32,1.,-1.54074395550979E-33)); #34197=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34198=DIRECTION('ref_axis',(-0.958264937197745,0.285881636586545,1.61175815559639E-18)); #34199=DIRECTION('center_axis',(0.,0.,-1.)); #34200=DIRECTION('ref_axis',(-0.958264937197745,0.285881636586545,1.61175815559639E-18)); #34201=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34202=DIRECTION('center_axis',(-1.18807025211579E-18,-3.98236864432386E-18, -1.)); #34203=DIRECTION('ref_axis',(-0.958264937197745,0.285881636586545,-6.1102517643913E-34)); #34204=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34205=DIRECTION('ref_axis',(-0.313527873125698,-0.949578997647524,-5.35358518341948E-18)); #34206=DIRECTION('center_axis',(0.,0.,-1.)); #34207=DIRECTION('ref_axis',(-0.313527873125698,-0.949578997647524,-5.35358518341948E-18)); #34208=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34209=DIRECTION('center_axis',(1.29115244319596E-18,-4.26307111255785E-19, -1.)); #34210=DIRECTION('ref_axis',(-0.313527873125698,-0.949578997647524,7.60115410133734E-34)); #34211=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34212=DIRECTION('ref_axis',(-0.1164102161173,-0.993201219080768,-5.59952078110162E-18)); #34213=DIRECTION('center_axis',(0.,0.,-1.)); #34214=DIRECTION('ref_axis',(-0.1164102161173,-0.993201219080768,-5.59952078110162E-18)); #34215=DIRECTION('center_axis',(5.01416480216424E-19,-5.87695622049213E-20, -1.)); #34216=DIRECTION('ref_axis',(-0.1164102161173,-0.993201219080768,2.05402405028879E-33)); #34217=DIRECTION('center_axis',(0.936453603044873,-0.350791461333191,-1.97771009522741E-18)); #34218=DIRECTION('ref_axis',(0.350791461333191,0.936453603044873,-7.70371977754894E-34)); #34219=DIRECTION('',(0.350791461333191,0.936453603044873,0.)); #34220=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34221=DIRECTION('',(0.350791461333191,0.936453603044873,-7.70371977754894E-34)); #34222=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34223=DIRECTION('center_axis',(0.799728305940284,-0.600362087975152,-3.38475217631508E-18)); #34224=DIRECTION('ref_axis',(0.600362087975152,0.799728305940284,-1.54074395550979E-33)); #34225=DIRECTION('',(0.600362087975152,0.799728305940284,0.)); #34226=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34227=DIRECTION('',(0.600362087975152,0.799728305940284,-7.70371977754894E-34)); #34228=DIRECTION('center_axis',(0.563128876698387,-0.826369087168932,-4.65894602983378E-18)); #34229=DIRECTION('ref_axis',(0.826369087168932,0.563128876698387,-1.15555796663234E-33)); #34230=DIRECTION('',(0.826369087168932,0.563128876698387,0.)); #34231=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34232=DIRECTION('',(0.826369087168932,0.563128876698387,-1.15555796663234E-33)); #34233=DIRECTION('center_axis',(0.322744027416964,-0.946486287680217,-5.33614894451928E-18)); #34234=DIRECTION('ref_axis',(0.946486287680217,0.322744027416964,-7.70371977754894E-34)); #34235=DIRECTION('',(0.946486287680217,0.322744027416964,0.)); #34236=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34237=DIRECTION('',(0.946486287680217,0.322744027416964,-7.70371977754894E-34)); #34238=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34239=DIRECTION('ref_axis',(0.271284253865224,-0.962499274599617,-5.42642778359046E-18)); #34240=DIRECTION('center_axis',(0.,0.,1.)); #34241=DIRECTION('ref_axis',(0.271284253865224,-0.962499274599617,-5.42642778359046E-18)); #34242=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34243=DIRECTION('center_axis',(1.13238800955758E-18,3.19168070424306E-19, 1.)); #34244=DIRECTION('ref_axis',(0.271284253865224,-0.962499274599617,1.30955334648921E-33)); #34245=DIRECTION('center_axis',(-0.550063091022154,-0.835123102240115,-4.70829986505615E-18)); #34246=DIRECTION('ref_axis',(0.835123102240115,-0.550063091022154,7.70371977754894E-34)); #34247=DIRECTION('',(0.835123102240115,-0.550063091022154,0.)); #34248=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34249=DIRECTION('',(0.835123102240115,-0.550063091022154,7.70371977754894E-34)); #34250=DIRECTION('center_axis',(-0.649429298172231,-0.760421979347996,-4.28714604247709E-18)); #34251=DIRECTION('ref_axis',(0.760421979347996,-0.649429298172231,7.70371977754894E-34)); #34252=DIRECTION('',(0.760421979347996,-0.649429298172231,0.)); #34253=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34254=DIRECTION('',(0.760421979347996,-0.649429298172231,7.70371977754894E-34)); #34255=DIRECTION('center_axis',(-0.740530761016633,-0.672022463901413,-3.78876271966906E-18)); #34256=DIRECTION('ref_axis',(0.672022463901413,-0.740530761016633,7.70371977754894E-34)); #34257=DIRECTION('',(0.672022463901413,-0.740530761016633,0.)); #34258=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34259=DIRECTION('',(0.672022463901413,-0.740530761016633,7.70371977754895E-34)); #34260=DIRECTION('center_axis',(-0.818718925102596,-0.574194498126593,-3.23722319594999E-18)); #34261=DIRECTION('ref_axis',(0.574194498126592,-0.818718925102596,7.70371977754894E-34)); #34262=DIRECTION('',(0.574194498126593,-0.818718925102596,0.)); #34263=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34264=DIRECTION('',(0.574194498126592,-0.818718925102596,7.70371977754894E-34)); #34265=DIRECTION('center_axis',(-0.881510398670186,-0.472164607987861,-2.66199384750627E-18)); #34266=DIRECTION('ref_axis',(0.472164607987861,-0.881510398670186,1.54074395550979E-33)); #34267=DIRECTION('',(0.472164607987861,-0.881510398670186,0.)); #34268=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34269=DIRECTION('',(0.472164607987861,-0.881510398670186,7.70371977754894E-34)); #34270=DIRECTION('center_axis',(-0.928858578911648,-0.370434529144131,-2.0884547905609E-18)); #34271=DIRECTION('ref_axis',(0.370434529144131,-0.928858578911648,1.54074395550979E-33)); #34272=DIRECTION('',(0.370434529144131,-0.928858578911648,0.)); #34273=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34274=DIRECTION('',(0.370434529144131,-0.928858578911648,7.70371977754895E-34)); #34275=DIRECTION('center_axis',(-0.961672630453074,-0.274200203934034,-1.54589997536649E-18)); #34276=DIRECTION('ref_axis',(0.274200203934034,-0.961672630453074,7.70371977754894E-34)); #34277=DIRECTION('',(0.274200203934034,-0.961672630453074,0.)); #34278=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34279=DIRECTION('',(0.274200203934034,-0.961672630453074,7.70371977754894E-34)); #34280=DIRECTION('center_axis',(-0.982766616992583,-0.184850687109769,-1.04216068605922E-18)); #34281=DIRECTION('ref_axis',(0.184850687109769,-0.982766616992583,1.54074395550979E-33)); #34282=DIRECTION('',(0.184850687109769,-0.982766616992583,0.)); #34283=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34284=DIRECTION('',(0.184850687109769,-0.982766616992583,1.54074395550979E-33)); #34285=DIRECTION('center_axis',(-0.99451858108654,-0.104559991744526,-5.89493685063304E-19)); #34286=DIRECTION('ref_axis',(0.104559991744526,-0.99451858108654,1.54074395550979E-33)); #34287=DIRECTION('',(0.104559991744526,-0.99451858108654,0.)); #34288=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34289=DIRECTION('',(0.104559991744526,-0.99451858108654,1.54074395550979E-33)); #34290=DIRECTION('center_axis',(-0.999469912180222,-0.0325560231978499, -1.83546017608707E-19)); #34291=DIRECTION('ref_axis',(0.0325560231978499,-0.999469912180222,1.54074395550979E-33)); #34292=DIRECTION('',(0.0325560231978499,-0.999469912180222,0.)); #34293=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34294=DIRECTION('',(0.0325560231978499,-0.999469912180222,1.54074395550979E-33)); #34295=DIRECTION('center_axis',(-0.99999999934051,3.63177706543655E-5,2.0475419038403E-22)); #34296=DIRECTION('ref_axis',(-3.63177706543655E-5,-0.99999999934051,1.54074395550979E-33)); #34297=DIRECTION('',(-3.63177706543655E-5,-0.99999999934051,0.)); #34298=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34299=DIRECTION('',(-3.63177706543655E-5,-0.99999999934051,1.54074395550979E-33)); #34300=DIRECTION('center_axis',(-0.990075145857858,0.140538982330671,7.9233788380144E-19)); #34301=DIRECTION('ref_axis',(-0.140538982330671,-0.990075145857858,1.54074395550979E-33)); #34302=DIRECTION('',(-0.140538982330671,-0.990075145857858,0.)); #34303=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34304=DIRECTION('',(-0.140538982330671,-0.990075145857858,1.54074395550979E-33)); #34305=DIRECTION('center_axis',(-0.933646854556414,0.358194850572861,2.01944930285392E-18)); #34306=DIRECTION('ref_axis',(-0.358194850572861,-0.933646854556414,1.54074395550979E-33)); #34307=DIRECTION('',(-0.35819485057286,-0.933646854556414,0.)); #34308=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34309=DIRECTION('',(-0.35819485057286,-0.933646854556414,1.54074395550979E-33)); #34310=DIRECTION('center_axis',(-0.815605822701656,0.578607934594018,3.26210549446176E-18)); #34311=DIRECTION('ref_axis',(-0.578607934594018,-0.815605822701656,1.54074395550979E-33)); #34312=DIRECTION('',(-0.578607934594018,-0.815605822701656,0.)); #34313=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34314=DIRECTION('',(-0.578607934594018,-0.815605822701656,1.54074395550979E-33)); #34315=DIRECTION('center_axis',(-0.652004609856524,0.758215001649164,4.27470343039544E-18)); #34316=DIRECTION('ref_axis',(-0.758215001649164,-0.652004609856524,7.70371977754894E-34)); #34317=DIRECTION('',(-0.758215001649164,-0.652004609856524,0.)); #34318=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34319=DIRECTION('',(-0.758215001649164,-0.652004609856524,7.70371977754894E-34)); #34320=DIRECTION('center_axis',(-0.48121827640813,0.876600804500423,4.94214498253788E-18)); #34321=DIRECTION('ref_axis',(-0.876600804500423,-0.481218276408129,7.70371977754894E-34)); #34322=DIRECTION('',(-0.876600804500423,-0.481218276408129,0.)); #34323=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34324=DIRECTION('',(-0.876600804500423,-0.48121827640813,7.70371977754894E-34)); #34325=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34326=DIRECTION('ref_axis',(-0.312823547119705,0.949811259339162,5.35489464029891E-18)); #34327=DIRECTION('center_axis',(0.,0.,1.)); #34328=DIRECTION('ref_axis',(-0.312823547119705,0.949811259339162,5.35489464029891E-18)); #34329=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34330=DIRECTION('center_axis',(1.288567027562E-18,4.24393903841377E-19, 1.)); #34331=DIRECTION('ref_axis',(-0.312823547119705,0.949811259339162,-2.52700624911623E-33)); #34332=DIRECTION('center_axis',(0.908891510130405,0.417032639985016,2.35116801019942E-18)); #34333=DIRECTION('ref_axis',(-0.417032639985015,0.908891510130405,-7.70371977754894E-34)); #34334=DIRECTION('',(-0.417032639985015,0.908891510130405,0.)); #34335=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34336=DIRECTION('',(-0.417032639985015,0.908891510130405,-7.70371977754894E-34)); #34337=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34338=DIRECTION('ref_axis',(0.982534056790506,0.186082850490825,1.04910743997513E-18)); #34339=DIRECTION('center_axis',(0.,0.,1.)); #34340=DIRECTION('ref_axis',(0.982534056790506,0.186082850490825,1.04910743997513E-18)); #34341=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34342=DIRECTION('center_axis',(-7.92910606929125E-19,4.18663876463301E-18, 1.)); #34343=DIRECTION('ref_axis',(0.982534056790506,0.186082850490825,-2.53676653375739E-34)); #34344=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34345=DIRECTION('ref_axis',(0.778599681051584,-0.627520945201331,-3.53786977475069E-18)); #34346=DIRECTION('center_axis',(0.,0.,-1.)); #34347=DIRECTION('ref_axis',(0.778599681051584,-0.627520945201331,-3.53786977475069E-18)); #34348=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34349=DIRECTION('center_axis',(-2.1189109832484E-18,-2.62904916297989E-18, -1.)); #34350=DIRECTION('ref_axis',(0.778599681051584,-0.627520945201331,9.81565329603942E-34)); #34351=DIRECTION('center_axis',(-0.729700810010744,0.683766574109662,3.85497426663786E-18)); #34352=DIRECTION('ref_axis',(-0.683766574109662,-0.729700810010744,1.54074395550979E-33)); #34353=DIRECTION('',(-0.683766574109662,-0.729700810010744,0.)); #34354=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34355=DIRECTION('',(-0.683766574109662,-0.729700810010744,1.54074395550979E-33)); #34356=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34357=DIRECTION('ref_axis',(-0.576331285097578,0.8172161585638,4.60734317942668E-18)); #34358=DIRECTION('center_axis',(0.,0.,1.)); #34359=DIRECTION('ref_axis',(-0.576331285097578,0.8172161585638,4.60734317942668E-18)); #34360=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34361=DIRECTION('center_axis',(2.04258155037272E-18,1.44050461742187E-18, 1.)); #34362=DIRECTION('ref_axis',(-0.576331285097578,0.8172161585638,-1.60722281124306E-33)); #34363=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34364=DIRECTION('ref_axis',(-0.00831974910350518,0.999965390288511,5.63765617251782E-18)); #34365=DIRECTION('center_axis',(0.,0.,1.)); #34366=DIRECTION('ref_axis',(-0.00831974910350518,0.999965390288511,5.63765617251782E-18)); #34367=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34368=DIRECTION('center_axis',(-2.45253364302726E-19,3.38142452211978E-17, 1.)); #34369=DIRECTION('ref_axis',(-0.00831974910350518,0.999965390288511,-3.38151153663843E-17)); #34370=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34371=DIRECTION('ref_axis',(-0.184084750079256,0.982910374748511,5.54150253103656E-18)); #34372=DIRECTION('center_axis',(0.,0.,1.)); #34373=DIRECTION('ref_axis',(-0.184084750079256,0.982910374748511,5.54150253103656E-18)); #34374=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34375=DIRECTION('center_axis',(7.84697006530337E-19,1.46962282672048E-19, 1.)); #34376=DIRECTION('ref_axis',(-0.184084750079256,0.982910374748511,-1.72811722845231E-33)); #34377=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34378=DIRECTION('ref_axis',(0.919920477995522,0.392104978500007,2.21062956156687E-18)); #34379=DIRECTION('center_axis',(0.,0.,1.)); #34380=DIRECTION('ref_axis',(0.919920477995522,0.392104978500007,2.21062956156687E-18)); #34381=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34382=DIRECTION('center_axis',(-1.56431030995971E-18,3.6700403386269E-18, 1.)); #34383=DIRECTION('ref_axis',(0.919920477995522,0.392104978500007,-6.23549797352532E-34)); #34384=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34385=DIRECTION('ref_axis',(0.991392424285399,0.130923875093582,7.38129338994749E-19)); #34386=DIRECTION('center_axis',(0.,0.,1.)); #34387=DIRECTION('ref_axis',(0.991392424285399,0.130923875093582,7.38129338994749E-19)); #34388=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34389=DIRECTION('center_axis',(-5.62904488324755E-19,4.2624711873409E-18, 1.)); #34390=DIRECTION('ref_axis',(0.991392424285399,0.130923875093582,-2.79334639320218E-34)); #34391=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34392=DIRECTION('ref_axis',(0.83411046311274,-0.551597439557011,-3.10982433998679E-18)); #34393=DIRECTION('center_axis',(0.,0.,1.)); #34394=DIRECTION('ref_axis',(0.83411046311274,-0.551597439557011,-3.10982433998679E-18)); #34395=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34396=DIRECTION('center_axis',(1.9953361695582E-18,3.01729242578141E-18, 1.)); #34397=DIRECTION('ref_axis',(0.83411046311274,-0.551597439557011,1.32393950021977E-33)); #34398=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34399=DIRECTION('ref_axis',(0.151405391357856,-0.988471753500207,-5.5728567574445E-18)); #34400=DIRECTION('center_axis',(0.,0.,1.)); #34401=DIRECTION('ref_axis',(0.151405391357856,-0.988471753500207,-5.5728567574445E-18)); #34402=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34403=DIRECTION('center_axis',(6.49046583340122E-19,9.94152352984472E-20, 1.)); #34404=DIRECTION('ref_axis',(0.151405391357856,-0.988471753500207,1.4710443064553E-33)); #34405=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34406=DIRECTION('ref_axis',(-0.392478127819199,-0.919761338165253,-5.1854776532361E-18)); #34407=DIRECTION('center_axis',(0.,0.,1.)); #34408=DIRECTION('ref_axis',(-0.392478127819199,-0.919761338165253,-5.1854776532361E-18)); #34409=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34410=DIRECTION('center_axis',(-1.56552812399261E-18,6.68038024275521E-19, 1.)); #34411=DIRECTION('ref_axis',(-0.392478127819199,-0.919761338165253,1.46074041109604E-33)); #34412=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34413=DIRECTION('ref_axis',(-0.999985245872728,0.0054321300480764,3.06255414366096E-20)); #34414=DIRECTION('center_axis',(0.,0.,-1.)); #34415=DIRECTION('ref_axis',(-0.999985245872728,0.0054321300480764,3.06255414366096E-20)); #34416=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34417=DIRECTION('center_axis',(-2.35577612180574E-20,-4.33668071923134E-18, -1.)); #34418=DIRECTION('ref_axis',(-0.999985245872728,0.0054321300480764,-9.04234215988205E-36)); #34419=DIRECTION('center_axis',(0.999998839396268,-0.0015235504967835,-8.58955114421993E-21)); #34420=DIRECTION('ref_axis',(0.0015235504967835,0.999998839396268,-1.54074395550979E-33)); #34421=DIRECTION('',(0.0015235504967835,0.999998839396268,0.)); #34422=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34423=DIRECTION('',(0.0015235504967835,0.999998839396268,-1.54074395550979E-33)); #34424=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34425=DIRECTION('ref_axis',(0.999969458929151,-0.00781544681651749,-4.40623269705475E-20)); #34426=DIRECTION('center_axis',(0.,0.,1.)); #34427=DIRECTION('ref_axis',(0.999969458929151,-0.00781544681651749,-4.40623269705475E-20)); #34428=DIRECTION('center_axis',(3.38930625076144E-20,4.33654379242429E-18, 1.)); #34429=DIRECTION('ref_axis',(0.999969458929151,-0.00781544681651749,1.77814850063045E-35)); #34430=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #34431=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #34432=DIRECTION('center_axis',(0.0491726000664779,0.998790296009479,5.63103116571277E-18)); #34433=DIRECTION('ref_axis',(-0.998790296009479,0.0491726000664779,-4.81482486096809E-35)); #34434=DIRECTION('',(-0.998790296009479,0.0491726000664779,0.)); #34435=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34436=DIRECTION('',(-0.998790296009479,0.0491726000664779,-4.81482486096809E-35)); #34437=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34438=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34439=DIRECTION('ref_axis',(0.0223183513972742,0.999750914573679,5.63644699033079E-18)); #34440=DIRECTION('center_axis',(0.,0.,1.)); #34441=DIRECTION('ref_axis',(0.0223183513972742,0.999750914573679,5.63644699033079E-18)); #34442=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34443=DIRECTION('center_axis',(-9.67663112017773E-20,2.16020261180927E-21, 1.)); #34444=DIRECTION('ref_axis',(0.0223183513972742,0.999750914573679,-1.91096999422871E-33)); #34445=DIRECTION('center_axis',(0.667672675768199,0.744454967095078,4.19712640173896E-18)); #34446=DIRECTION('ref_axis',(-0.744454967095078,0.667672675768199,-7.70371977754894E-34)); #34447=DIRECTION('',(-0.744454967095078,0.667672675768199,0.)); #34448=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34449=DIRECTION('',(-0.744454967095078,0.667672675768199,-7.70371977754894E-34)); #34450=DIRECTION('center_axis',(0.82212607320452,0.569305471392395,3.2096595902359E-18)); #34451=DIRECTION('ref_axis',(-0.569305471392395,0.82212607320452,-1.54074395550979E-33)); #34452=DIRECTION('',(-0.569305471392395,0.82212607320452,0.)); #34453=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34454=DIRECTION('',(-0.569305471392395,0.82212607320452,-1.54074395550979E-33)); #34455=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34456=DIRECTION('ref_axis',(0.897222885235902,0.441577959378594,2.48955087097593E-18)); #34457=DIRECTION('center_axis',(0.,0.,1.)); #34458=DIRECTION('ref_axis',(0.897222885235902,0.441577959378594,2.48955087097593E-18)); #34459=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34460=DIRECTION('center_axis',(-1.71821693492198E-18,3.49116961811528E-18, 1.)); #34461=DIRECTION('ref_axis',(0.897222885235902,0.441577959378594,-1.09484213483072E-33)); #34462=DIRECTION('center_axis',(0.304476044243164,-0.952519993743982,-5.37016608207614E-18)); #34463=DIRECTION('ref_axis',(0.952519993743982,0.304476044243164,-3.85185988877447E-34)); #34464=DIRECTION('',(0.952519993743982,0.304476044243164,0.)); #34465=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34466=DIRECTION('',(0.952519993743982,0.304476044243164,-3.85185988877447E-34)); #34467=DIRECTION('center_axis',(0.137570655909531,-0.990491955864671,-5.58424635796504E-18)); #34468=DIRECTION('ref_axis',(0.990491955864671,0.137570655909531,-9.62964972193618E-35)); #34469=DIRECTION('',(0.990491955864671,0.137570655909531,0.)); #34470=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34471=DIRECTION('',(0.990491955864671,0.137570655909531,-9.62964972193618E-35)); #34472=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34473=DIRECTION('ref_axis',(0.0379215472165883,-0.999280719446092,-5.63379610012092E-18)); #34474=DIRECTION('center_axis',(0.,0.,1.)); #34475=DIRECTION('ref_axis',(0.0379215472165883,-0.999280719446092,-5.63379610012092E-18)); #34476=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34477=DIRECTION('center_axis',(1.14014392277531E-18,-2.57074253418546E-17, 1.)); #34478=DIRECTION('ref_axis',(0.0379215472165883,-0.999280719446092,-2.57321705123164E-17)); #34479=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34480=DIRECTION('ref_axis',(0.0804578385407166,-0.996758012868397,-5.6195734555701E-18)); #34481=DIRECTION('center_axis',(0.,0.,1.)); #34482=DIRECTION('ref_axis',(0.0804578385407166,-0.996758012868397,-5.6195734555701E-18)); #34483=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34484=DIRECTION('center_axis',(3.47799025966119E-19,2.8074173986597E-20, 1.)); #34485=DIRECTION('ref_axis',(0.0804578385407166,-0.996758012868397,2.29370699704405E-33)); #34486=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34487=DIRECTION('ref_axis',(-0.689105577742214,-0.724660957085842,-4.08553071673706E-18)); #34488=DIRECTION('center_axis',(0.,0.,1.)); #34489=DIRECTION('ref_axis',(-0.689105577742214,-0.724660957085842,-4.08553071673706E-18)); #34490=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34491=DIRECTION('center_axis',(-2.16566308072357E-18,2.05940515194641E-18, 1.)); #34492=DIRECTION('ref_axis',(-0.689105577742214,-0.724660957085842,5.73360010806073E-34)); #34493=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34494=DIRECTION('ref_axis',(-0.990989445779077,-0.133939980418389,-7.55133692311876E-19)); #34495=DIRECTION('center_axis',(0.,0.,1.)); #34496=DIRECTION('ref_axis',(-0.990989445779077,-0.133939980418389,-7.55133692311876E-19)); #34497=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34498=DIRECTION('center_axis',(-5.75638091717887E-19,4.25900669612547E-18, 1.)); #34499=DIRECTION('ref_axis',(-0.990989445779077,-0.133939980418389,1.50894223721348E-34)); #34500=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34501=DIRECTION('ref_axis',(-0.775537458543517,0.631301552663909,3.55918427743675E-18)); #34502=DIRECTION('center_axis',(0.,0.,1.)); #34503=DIRECTION('ref_axis',(-0.775537458543517,0.631301552663909,3.55918427743675E-18)); #34504=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34505=DIRECTION('center_axis',(2.12329286847026E-18,2.60840979720141E-18, 1.)); #34506=DIRECTION('ref_axis',(-0.775537458543517,0.631301552663909,-1.20733625318755E-33)); #34507=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34508=DIRECTION('ref_axis',(-0.113632982800759,0.99352279552097,5.60133378125207E-18)); #34509=DIRECTION('center_axis',(0.,0.,1.)); #34510=DIRECTION('ref_axis',(-0.113632982800759,0.99352279552097,5.60133378125207E-18)); #34511=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34512=DIRECTION('center_axis',(-6.41232719100255E-21,4.39287333842328E-18, 1.)); #34513=DIRECTION('ref_axis',(-0.113632982800759,0.99352279552097,-4.36514845142524E-18)); #34514=DIRECTION('center_axis',(-0.219912217662588,0.975519664857005,5.49983480768954E-18)); #34515=DIRECTION('ref_axis',(-0.975519664857005,-0.219912217662588,5.77778983316171E-34)); #34516=DIRECTION('',(-0.975519664857005,-0.219912217662588,0.)); #34517=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34518=DIRECTION('',(-0.975519664857005,-0.219912217662588,5.77778983316171E-34)); #34519=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34520=DIRECTION('ref_axis',(0.148287905159889,-0.988944233606371,-5.5755205300238E-18)); #34521=DIRECTION('center_axis',(0.,0.,-1.)); #34522=DIRECTION('ref_axis',(0.148287905159889,-0.988944233606371,-5.5755205300238E-18)); #34523=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34524=DIRECTION('center_axis',(-6.35986353517834E-19,-9.53633995412681E-20, -1.)); #34525=DIRECTION('ref_axis',(0.148287905159889,-0.988944233606371,1.55830410938656E-33)); #34526=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34527=DIRECTION('ref_axis',(0.805768908570557,-0.592230078585185,-3.33890511662925E-18)); #34528=DIRECTION('center_axis',(0.,0.,-1.)); #34529=DIRECTION('ref_axis',(0.805768908570557,-0.592230078585185,-3.33890511662925E-18)); #34530=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34531=DIRECTION('center_axis',(-2.0695276397285E-18,-2.81573173639605E-18, -1.)); #34532=DIRECTION('ref_axis',(0.805768908570557,-0.592230078585185,7.79625564726326E-34)); #34533=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34534=DIRECTION('ref_axis',(0.999848153341701,-0.017426137242357,-9.82459704522077E-20)); #34535=DIRECTION('center_axis',(0.,0.,-1.)); #34536=DIRECTION('ref_axis',(0.999848153341701,-0.017426137242357,-9.82459704522077E-20)); #34537=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34538=DIRECTION('center_axis',(-7.55623477922342E-20,-4.3354917301231E-18, -1.)); #34539=DIRECTION('ref_axis',(0.999848153341701,-0.017426137242357,4.04069878954631E-35)); #34540=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34541=DIRECTION('ref_axis',(0.665090514523918,0.746762751809642,4.21013734878499E-18)); #34542=DIRECTION('center_axis',(0.,0.,-1.)); #34543=DIRECTION('ref_axis',(0.665090514523918,0.746762751809642,4.21013734878499E-18)); #34544=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34545=DIRECTION('center_axis',(2.15394031963063E-18,-1.91836734219187E-18, -1.)); #34546=DIRECTION('ref_axis',(0.665090514523918,0.746762751809642,-1.29372616256198E-33)); #34547=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34548=DIRECTION('ref_axis',(0.0189260449492875,0.999820886370443,5.63684148091592E-18)); #34549=DIRECTION('center_axis',(0.,0.,-1.)); #34550=DIRECTION('ref_axis',(0.0189260449492875,0.999820886370443,5.63684148091592E-18)); #34551=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34552=DIRECTION('center_axis',(-1.26997641472625E-19,-1.10458118020209E-17, -1.)); #34553=DIRECTION('ref_axis',(0.0189260449492875,0.999820886370443,-1.10462369096486E-17)); #34554=DIRECTION('center_axis',(-0.143352322967582,-0.989671719056273,-5.57962198481103E-18)); #34555=DIRECTION('ref_axis',(0.989671719056273,-0.143352322967582,1.92592994438724E-34)); #34556=DIRECTION('',(0.989671719056273,-0.143352322967582,0.)); #34557=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34558=DIRECTION('',(0.989671719056273,-0.143352322967582,1.92592994438724E-34)); #34559=DIRECTION('center_axis',(-0.24318466692411,-0.969980008955344,-5.46860305147985E-18)); #34560=DIRECTION('ref_axis',(0.969980008955344,-0.24318466692411,3.85185988877447E-34)); #34561=DIRECTION('',(0.969980008955344,-0.24318466692411,0.)); #34562=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34563=DIRECTION('',(0.969980008955344,-0.24318466692411,1.92592994438724E-34)); #34564=DIRECTION('center_axis',(-0.372316475896066,-0.928105835439221,-5.23252268801432E-18)); #34565=DIRECTION('ref_axis',(0.928105835439221,-0.372316475896066,3.85185988877447E-34)); #34566=DIRECTION('',(0.928105835439221,-0.372316475896066,0.)); #34567=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34568=DIRECTION('',(0.928105835439221,-0.372316475896066,3.85185988877447E-34)); #34569=DIRECTION('center_axis',(-0.526276141373709,-0.850313720353141,-4.79394231108576E-18)); #34570=DIRECTION('ref_axis',(0.850313720353141,-0.526276141373709,7.70371977754894E-34)); #34571=DIRECTION('',(0.850313720353141,-0.526276141373709,0.)); #34572=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34573=DIRECTION('',(0.850313720353141,-0.526276141373709,7.70371977754894E-34)); #34574=DIRECTION('center_axis',(-0.687835706753926,-0.72586640679558,-4.09232686294648E-18)); #34575=DIRECTION('ref_axis',(0.72586640679558,-0.687835706753926,1.54074395550979E-33)); #34576=DIRECTION('',(0.72586640679558,-0.687835706753926,0.)); #34577=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34578=DIRECTION('',(0.72586640679558,-0.687835706753926,1.54074395550979E-33)); #34579=DIRECTION('center_axis',(-0.828606016786335,-0.559832179269363,-3.15625057795392E-18)); #34580=DIRECTION('ref_axis',(0.559832179269363,-0.828606016786335,1.54074395550979E-33)); #34581=DIRECTION('',(0.559832179269363,-0.828606016786335,0.)); #34582=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34583=DIRECTION('',(0.559832179269363,-0.828606016786335,1.54074395550979E-33)); #34584=DIRECTION('center_axis',(-0.926379514298775,-0.376591284402025,-2.12316566117647E-18)); #34585=DIRECTION('ref_axis',(0.376591284402025,-0.926379514298774,1.54074395550979E-33)); #34586=DIRECTION('',(0.376591284402025,-0.926379514298774,0.)); #34587=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34588=DIRECTION('',(0.376591284402025,-0.926379514298774,1.54074395550979E-33)); #34589=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34590=DIRECTION('ref_axis',(-0.962806987498516,-0.270190127177201,-1.52329175892221E-18)); #34591=DIRECTION('center_axis',(0.,0.,1.)); #34592=DIRECTION('ref_axis',(-0.962806987498516,-0.270190127177201,-1.52329175892221E-18)); #34593=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34594=DIRECTION('center_axis',(-1.12818149960707E-18,4.02020992527185E-18, 1.)); #34595=DIRECTION('ref_axis',(-0.962806987498516,-0.270190127177201,5.20722491155873E-34)); #34596=DIRECTION('center_axis',(-0.999856324500869,-0.0169508216795981, -9.55662119902611E-20)); #34597=DIRECTION('ref_axis',(0.0169508216795981,-0.999856324500869,1.54074395550979E-33)); #34598=DIRECTION('',(0.0169508216795981,-0.999856324500869,0.)); #34599=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34600=DIRECTION('',(0.0169508216795981,-0.999856324500869,1.54074395550979E-33)); #34601=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34602=DIRECTION('ref_axis',(-0.974386721549895,-0.224878893778958,-1.26783376294267E-18)); #34603=DIRECTION('center_axis',(0.,0.,1.)); #34604=DIRECTION('ref_axis',(-0.974386721549895,-0.224878893778958,-1.26783376294267E-18)); #34605=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34606=DIRECTION('center_axis',(-9.50277218264606E-19,4.11749403293732E-18, 1.)); #34607=DIRECTION('ref_axis',(-0.974386721549895,-0.224878893778958,1.44920544089414E-34)); #34608=DIRECTION('center_axis',(-0.510465726051755,0.859898100083059,4.84797761877629E-18)); #34609=DIRECTION('ref_axis',(-0.859898100083059,-0.510465726051755,0.)); #34610=DIRECTION('',(-0.859898100083059,-0.510465726051755,0.)); #34611=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34612=DIRECTION('',(-0.859898100083059,-0.510465726051755,3.85185988877447E-34)); #34613=DIRECTION('center_axis',(-0.330818724789432,0.94369432091578,5.32040825107543E-18)); #34614=DIRECTION('ref_axis',(-0.943694320915779,-0.330818724789432,7.70371977754894E-34)); #34615=DIRECTION('',(-0.943694320915779,-0.330818724789432,0.)); #34616=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34617=DIRECTION('',(-0.943694320915779,-0.330818724789432,7.70371977754894E-34)); #34618=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34619=DIRECTION('ref_axis',(-0.230615392531901,0.973044983917679,5.48588292454629E-18)); #34620=DIRECTION('center_axis',(0.,0.,1.)); #34621=DIRECTION('ref_axis',(-0.230615392531901,0.973044983917679,5.48588292454629E-18)); #34622=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34623=DIRECTION('center_axis',(9.73176187714073E-19,2.30646488334776E-19, 1.)); #34624=DIRECTION('ref_axis',(-0.230615392531901,0.973044983917679,-2.14141114036124E-33)); #34625=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34626=DIRECTION('ref_axis',(-0.0566280569088762,0.998395344125124,5.62880448571934E-18)); #34627=DIRECTION('center_axis',(0.,0.,1.)); #34628=DIRECTION('ref_axis',(-0.0566280569088762,0.998395344125124,5.62880448571934E-18)); #34629=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34630=DIRECTION('center_axis',(-1.93115325545146E-18,3.83844967845092E-17, 1.)); #34631=DIRECTION('ref_axis',(-0.0566280569088762,0.998395344125124,-3.84322603326892E-17)); #34632=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34633=DIRECTION('ref_axis',(-0.0958141526485908,0.995399240582507,5.61191289947587E-18)); #34634=DIRECTION('center_axis',(0.,0.,1.)); #34635=DIRECTION('ref_axis',(-0.0958141526485908,0.995399240582507,5.61191289947587E-18)); #34636=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34637=DIRECTION('center_axis',(4.13615907077669E-19,3.98134296701244E-20, 1.)); #34638=DIRECTION('ref_axis',(-0.0958141526485908,0.995399240582507,-2.46145395260454E-33)); #34639=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34640=DIRECTION('ref_axis',(0.623649381385134,0.781704195394869,4.40713201181838E-18)); #34641=DIRECTION('center_axis',(0.,0.,1.)); #34642=DIRECTION('ref_axis',(0.623649381385134,0.781704195394869,4.40713201181838E-18)); #34643=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34644=DIRECTION('center_axis',(-2.11423473296396E-18,1.68675208740551E-18, 1.)); #34645=DIRECTION('ref_axis',(0.623649381385134,0.781704195394869,-1.23111269393969E-33)); #34646=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34647=DIRECTION('ref_axis',(0.965682160507194,0.259726711907264,1.46430057957234E-18)); #34648=DIRECTION('center_axis',(0.,0.,1.)); #34649=DIRECTION('ref_axis',(0.965682160507194,0.259726711907264,1.46430057957234E-18)); #34650=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34651=DIRECTION('center_axis',(-1.08772995947181E-18,4.04425640165261E-18, 1.)); #34652=DIRECTION('ref_axis',(0.965682160507194,0.259726711907264,-2.84735294083684E-34)); #34653=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34654=DIRECTION('ref_axis',(0.305891199724487,-0.952066475584092,-5.36760921413023E-18)); #34655=DIRECTION('center_axis',(0.,0.,1.)); #34656=DIRECTION('ref_axis',(0.305891199724487,-0.952066475584092,-5.36760921413023E-18)); #34657=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34658=DIRECTION('center_axis',(1.26300340166347E-18,4.05792700088435E-19, 1.)); #34659=DIRECTION('ref_axis',(0.305891199724487,-0.952066475584092,6.10019042411875E-34)); #34660=DIRECTION('center_axis',(0.178494792979043,-0.983940856392989,-5.54731223331234E-18)); #34661=DIRECTION('ref_axis',(0.983940856392989,0.178494792979043,-3.85185988877447E-34)); #34662=DIRECTION('',(0.983940856392989,0.178494792979043,0.)); #34663=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34664=DIRECTION('',(0.983940856392989,0.178494792979043,-3.85185988877447E-34)); #34665=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34666=DIRECTION('ref_axis',(0.0171410892617045,0.999853080736826,5.63702298796619E-18)); #34667=DIRECTION('center_axis',(0.,0.,-1.)); #34668=DIRECTION('ref_axis',(0.0171410892617045,0.999853080736826,5.63702298796619E-18)); #34669=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34670=DIRECTION('center_axis',(7.43267032361612E-20,-1.27422786331962E-21, -1.)); #34671=DIRECTION('ref_axis',(0.0171410892617045,0.999853080736826,-1.62559538038412E-33)); #34672=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34673=DIRECTION('ref_axis',(-0.745551687855378,0.666447807960982,3.75733363844539E-18)); #34674=DIRECTION('center_axis',(0.,0.,-1.)); #34675=DIRECTION('ref_axis',(-0.745551687855378,0.666447807960982,3.75733363844539E-18)); #34676=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34677=DIRECTION('center_axis',(-2.15483571998365E-18,-2.4106034844651E-18, -1.)); #34678=DIRECTION('ref_axis',(-0.745551687855378,0.666447807960982,-8.13462382942363E-34)); #34679=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34680=DIRECTION('ref_axis',(-0.990313239699169,0.138851313557116,7.82823058217674E-19)); #34681=DIRECTION('center_axis',(0.,0.,-1.)); #34682=DIRECTION('ref_axis',(-0.990313239699169,0.138851313557116,7.82823058217674E-19)); #34683=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34684=DIRECTION('center_axis',(-5.96338491457505E-19,-4.25319637462177E-18, -1.)); #34685=DIRECTION('ref_axis',(-0.990313239699169,0.138851313557116,-3.34072610724377E-34)); #34686=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34687=DIRECTION('ref_axis',(-0.736674775198924,-0.676247200057504,-3.81258115388585E-18)); #34688=DIRECTION('center_axis',(0.,0.,-1.)); #34689=DIRECTION('ref_axis',(-0.736674775198924,-0.676247200057504,-3.81258115388585E-18)); #34690=DIRECTION('',(-1.09537265587024E-33,-5.63785129692462E-18,1.)); #34691=DIRECTION('center_axis',(2.16048643420501E-18,-2.35354151278255E-18, -1.)); #34692=DIRECTION('ref_axis',(-0.736674775198924,-0.676247200057504,1.33777229699162E-33)); #34693=DIRECTION('center_axis',(-1.09537265587024E-33,-5.63785129692462E-18, 1.)); #34694=DIRECTION('ref_axis',(-0.0247373814543249,-0.999693984156543,-5.63612602510471E-18)); #34695=DIRECTION('center_axis',(0.,0.,-1.)); #34696=DIRECTION('ref_axis',(-0.0247373814543249,-0.999693984156543,-5.63612602510471E-18)); #34697=DIRECTION('center_axis',(1.07248461082817E-19,-2.65385821485645E-21, -1.)); #34698=DIRECTION('ref_axis',(-0.0247373814543249,-0.999693984156543,1.1676058023487E-33)); #34699=DIRECTION('center_axis',(-3.42113882891801E-49,-1.54074395550979E-33, -1.)); #34700=DIRECTION('ref_axis',(-1.,4.93038065763132E-32,1.71056941445901E-49)); #34701=DIRECTION('center_axis',(0.,0.,-1.)); #34702=DIRECTION('ref_axis',(-1.,0.,0.)); #34703=DIRECTION('center_axis',(0.,0.,-1.)); #34704=DIRECTION('ref_axis',(-1.,0.,0.)); #34705=DIRECTION('center_axis',(0.,0.,-1.)); #34706=DIRECTION('ref_axis',(-1.,0.,0.)); #34707=DIRECTION('',(-1.,0.,0.)); #34708=DIRECTION('',(0.,1.,0.)); #34709=DIRECTION('',(1.,0.,0.)); #34710=DIRECTION('',(0.,1.,0.)); #34711=DIRECTION('',(1.,0.,0.)); #34712=DIRECTION('',(0.,-1.,0.)); #34713=DIRECTION('',(1.,0.,0.)); #34714=DIRECTION('',(0.,-1.,0.)); #34715=DIRECTION('',(-1.,0.,0.)); #34716=DIRECTION('',(0.,-1.,0.)); #34717=DIRECTION('',(-1.,0.,0.)); #34718=DIRECTION('',(0.,1.,0.)); #34719=DIRECTION('center_axis',(0.,0.,-1.)); #34720=DIRECTION('ref_axis',(-1.,0.,0.)); #34721=DIRECTION('center_axis',(0.,0.,-1.)); #34722=DIRECTION('ref_axis',(-1.,0.,0.)); #34723=DIRECTION('center_axis',(0.,0.,-1.)); #34724=DIRECTION('ref_axis',(-1.,0.,0.)); #34725=DIRECTION('center_axis',(0.,0.,-1.)); #34726=DIRECTION('ref_axis',(-1.,0.,0.)); #34727=DIRECTION('center_axis',(0.,0.,-1.)); #34728=DIRECTION('ref_axis',(-1.,0.,0.)); #34729=DIRECTION('center_axis',(0.,0.,-1.)); #34730=DIRECTION('ref_axis',(-1.,0.,0.)); #34731=DIRECTION('center_axis',(0.,0.,-1.)); #34732=DIRECTION('ref_axis',(-1.,0.,0.)); #34733=DIRECTION('center_axis',(0.,0.,-1.)); #34734=DIRECTION('ref_axis',(-1.,0.,0.)); #34735=DIRECTION('center_axis',(0.,0.,-1.)); #34736=DIRECTION('ref_axis',(-1.,0.,0.)); #34737=DIRECTION('center_axis',(0.,0.,-1.)); #34738=DIRECTION('ref_axis',(-1.,0.,0.)); #34739=DIRECTION('center_axis',(0.,0.,-1.)); #34740=DIRECTION('ref_axis',(-1.,0.,0.)); #34741=DIRECTION('center_axis',(0.,0.,-1.)); #34742=DIRECTION('ref_axis',(-1.,0.,0.)); #34743=DIRECTION('center_axis',(0.,0.,-1.)); #34744=DIRECTION('ref_axis',(-1.,0.,0.)); #34745=DIRECTION('center_axis',(0.,0.,-1.)); #34746=DIRECTION('ref_axis',(-1.,0.,0.)); #34747=DIRECTION('center_axis',(0.,0.,-1.)); #34748=DIRECTION('ref_axis',(-1.,0.,0.)); #34749=DIRECTION('center_axis',(0.,0.,-1.)); #34750=DIRECTION('ref_axis',(-1.,0.,0.)); #34751=DIRECTION('center_axis',(0.,0.,-1.)); #34752=DIRECTION('ref_axis',(-1.,0.,0.)); #34753=DIRECTION('center_axis',(0.,0.,-1.)); #34754=DIRECTION('ref_axis',(-1.,0.,0.)); #34755=DIRECTION('',(0.,0.,-1.)); #34756=DIRECTION('center_axis',(0.,0.,-1.)); #34757=DIRECTION('ref_axis',(-1.,0.,0.)); #34758=DIRECTION('center_axis',(0.,0.,-1.)); #34759=DIRECTION('ref_axis',(-1.,0.,0.)); #34760=DIRECTION('',(0.,0.,-1.)); #34761=DIRECTION('center_axis',(0.,0.,-1.)); #34762=DIRECTION('ref_axis',(-1.,0.,0.)); #34763=DIRECTION('center_axis',(0.,0.,-1.)); #34764=DIRECTION('ref_axis',(-1.,0.,0.)); #34765=DIRECTION('',(0.,0.,-1.)); #34766=DIRECTION('center_axis',(0.,0.,-1.)); #34767=DIRECTION('ref_axis',(-1.,0.,0.)); #34768=DIRECTION('center_axis',(0.,0.,-1.)); #34769=DIRECTION('ref_axis',(-1.,0.,0.)); #34770=DIRECTION('',(0.,0.,-1.)); #34771=DIRECTION('center_axis',(0.,0.,-1.)); #34772=DIRECTION('ref_axis',(-1.,0.,0.)); #34773=DIRECTION('center_axis',(0.,0.,-1.)); #34774=DIRECTION('ref_axis',(-1.,0.,0.)); #34775=DIRECTION('',(0.,0.,-1.)); #34776=DIRECTION('center_axis',(0.,0.,-1.)); #34777=DIRECTION('ref_axis',(-1.,0.,0.)); #34778=DIRECTION('center_axis',(0.,0.,-1.)); #34779=DIRECTION('ref_axis',(-1.,0.,0.)); #34780=DIRECTION('',(0.,0.,-1.)); #34781=DIRECTION('center_axis',(0.,0.,-1.)); #34782=DIRECTION('ref_axis',(-1.,0.,0.)); #34783=DIRECTION('center_axis',(0.,0.,-1.)); #34784=DIRECTION('ref_axis',(-1.,0.,0.)); #34785=DIRECTION('',(0.,0.,-1.)); #34786=DIRECTION('center_axis',(0.,0.,-1.)); #34787=DIRECTION('ref_axis',(-1.,0.,0.)); #34788=DIRECTION('center_axis',(0.,0.,-1.)); #34789=DIRECTION('ref_axis',(-1.,0.,0.)); #34790=DIRECTION('',(0.,0.,-1.)); #34791=DIRECTION('center_axis',(0.,0.,-1.)); #34792=DIRECTION('ref_axis',(-1.,0.,0.)); #34793=DIRECTION('center_axis',(0.,0.,-1.)); #34794=DIRECTION('ref_axis',(-1.,0.,0.)); #34795=DIRECTION('',(0.,0.,-1.)); #34796=DIRECTION('center_axis',(0.,0.,-1.)); #34797=DIRECTION('ref_axis',(-1.,0.,0.)); #34798=DIRECTION('center_axis',(0.,0.,-1.)); #34799=DIRECTION('ref_axis',(-1.,0.,0.)); #34800=DIRECTION('',(0.,0.,-1.)); #34801=DIRECTION('center_axis',(0.,0.,-1.)); #34802=DIRECTION('ref_axis',(-1.,0.,0.)); #34803=DIRECTION('center_axis',(0.,0.,-1.)); #34804=DIRECTION('ref_axis',(-1.,0.,0.)); #34805=DIRECTION('',(0.,0.,-1.)); #34806=DIRECTION('center_axis',(0.,0.,-1.)); #34807=DIRECTION('ref_axis',(-1.,0.,0.)); #34808=DIRECTION('center_axis',(0.,0.,-1.)); #34809=DIRECTION('ref_axis',(-1.,0.,0.)); #34810=DIRECTION('',(0.,0.,-1.)); #34811=DIRECTION('center_axis',(0.,0.,-1.)); #34812=DIRECTION('ref_axis',(-1.,0.,0.)); #34813=DIRECTION('center_axis',(0.,0.,-1.)); #34814=DIRECTION('ref_axis',(-1.,0.,0.)); #34815=DIRECTION('',(0.,0.,-1.)); #34816=DIRECTION('center_axis',(0.,0.,-1.)); #34817=DIRECTION('ref_axis',(-1.,0.,0.)); #34818=DIRECTION('center_axis',(0.,0.,-1.)); #34819=DIRECTION('ref_axis',(-1.,0.,0.)); #34820=DIRECTION('',(0.,0.,-1.)); #34821=DIRECTION('center_axis',(0.,0.,-1.)); #34822=DIRECTION('ref_axis',(-1.,0.,0.)); #34823=DIRECTION('',(0.,1.,0.)); #34824=DIRECTION('',(1.,0.,0.)); #34825=DIRECTION('',(0.,1.,0.)); #34826=DIRECTION('',(1.,0.,0.)); #34827=DIRECTION('center_axis',(0.,0.,-1.)); #34828=DIRECTION('ref_axis',(-1.,0.,0.)); #34829=DIRECTION('',(0.,1.,0.)); #34830=DIRECTION('',(1.,0.,0.)); #34831=DIRECTION('',(0.,1.,0.)); #34832=DIRECTION('',(1.,0.,0.)); #34833=DIRECTION('center_axis',(0.,0.,-1.)); #34834=DIRECTION('ref_axis',(-1.,0.,0.)); #34835=DIRECTION('',(0.,1.,0.)); #34836=DIRECTION('',(1.,0.,0.)); #34837=DIRECTION('',(0.,1.,0.)); #34838=DIRECTION('',(1.,0.,0.)); #34839=DIRECTION('center_axis',(0.,-1.,0.)); #34840=DIRECTION('ref_axis',(-1.,0.,-6.12323399573677E-17)); #34841=DIRECTION('',(-1.,0.,0.)); #34842=DIRECTION('',(0.,0.,-1.)); #34843=DIRECTION('',(-1.,0.,0.)); #34844=DIRECTION('',(0.,0.,1.)); #34845=DIRECTION('center_axis',(0.,0.,-1.)); #34846=DIRECTION('ref_axis',(-1.,0.,0.)); #34847=DIRECTION('',(0.,-1.,0.)); #34848=DIRECTION('',(-1.,0.,0.)); #34849=DIRECTION('',(0.,-1.,0.)); #34850=DIRECTION('center_axis',(0.,1.,0.)); #34851=DIRECTION('ref_axis',(1.,0.,6.12323399573677E-17)); #34852=DIRECTION('',(0.,0.,-1.)); #34853=DIRECTION('',(-1.,0.,0.)); #34854=DIRECTION('',(0.,0.,-1.)); #34855=DIRECTION('center_axis',(0.,0.,-1.)); #34856=DIRECTION('ref_axis',(-1.,0.,0.)); #34857=DIRECTION('',(0.,-1.,0.)); #34858=DIRECTION('',(-1.,0.,0.)); #34859=DIRECTION('',(0.,-1.,0.)); #34860=DIRECTION('center_axis',(0.,0.,-1.)); #34861=DIRECTION('ref_axis',(-1.,0.,0.)); #34862=DIRECTION('',(0.,-1.,0.)); #34863=DIRECTION('',(0.,-1.,0.)); #34864=DIRECTION('',(-1.,0.,0.)); #34865=DIRECTION('center_axis',(0.,-1.,0.)); #34866=DIRECTION('ref_axis',(-1.,0.,-6.12323399573677E-17)); #34867=DIRECTION('',(-1.,0.,0.)); #34868=DIRECTION('',(0.,0.,1.)); #34869=DIRECTION('',(0.,0.,1.)); #34870=DIRECTION('center_axis',(1.,0.,0.)); #34871=DIRECTION('ref_axis',(0.,0.,-1.)); #34872=DIRECTION('',(0.,-1.,0.)); #34873=DIRECTION('',(0.,0.,-1.)); #34874=DIRECTION('center_axis',(0.,1.,0.)); #34875=DIRECTION('ref_axis',(1.,0.,6.12323399573677E-17)); #34876=DIRECTION('',(-1.,0.,0.)); #34877=DIRECTION('',(0.,0.,-1.)); #34878=DIRECTION('center_axis',(1.,0.,0.)); #34879=DIRECTION('ref_axis',(0.,0.,-1.)); #34880=DIRECTION('',(0.,-1.,0.)); #34881=DIRECTION('center_axis',(1.,0.,0.)); #34882=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #34883=DIRECTION('',(0.,-1.,0.)); #34884=DIRECTION('',(0.,0.,-1.)); #34885=DIRECTION('',(0.,-1.,0.)); #34886=DIRECTION('',(0.,0.,1.)); #34887=DIRECTION('center_axis',(0.,0.,-1.)); #34888=DIRECTION('ref_axis',(0.,1.,0.)); #34889=DIRECTION('',(1.,0.,0.)); #34890=DIRECTION('',(0.,-1.,0.)); #34891=DIRECTION('',(1.,0.,0.)); #34892=DIRECTION('center_axis',(-1.,0.,0.)); #34893=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #34894=DIRECTION('',(0.,0.,-1.)); #34895=DIRECTION('',(0.,-1.,0.)); #34896=DIRECTION('',(0.,0.,-1.)); #34897=DIRECTION('center_axis',(0.,0.,-1.)); #34898=DIRECTION('ref_axis',(0.,1.,0.)); #34899=DIRECTION('',(1.,0.,0.)); #34900=DIRECTION('',(0.,-1.,0.)); #34901=DIRECTION('',(1.,0.,0.)); #34902=DIRECTION('center_axis',(0.,0.,-1.)); #34903=DIRECTION('ref_axis',(0.,1.,0.)); #34904=DIRECTION('',(1.,0.,0.)); #34905=DIRECTION('',(1.,0.,0.)); #34906=DIRECTION('',(0.,-1.,0.)); #34907=DIRECTION('center_axis',(1.,0.,0.)); #34908=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #34909=DIRECTION('',(0.,-1.,0.)); #34910=DIRECTION('',(0.,0.,1.)); #34911=DIRECTION('',(0.,0.,1.)); #34912=DIRECTION('center_axis',(0.,1.,0.)); #34913=DIRECTION('ref_axis',(0.,0.,1.)); #34914=DIRECTION('',(1.,0.,0.)); #34915=DIRECTION('',(0.,0.,-1.)); #34916=DIRECTION('center_axis',(-1.,0.,0.)); #34917=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #34918=DIRECTION('',(0.,-1.,0.)); #34919=DIRECTION('',(0.,0.,-1.)); #34920=DIRECTION('center_axis',(0.,1.,0.)); #34921=DIRECTION('ref_axis',(0.,0.,1.)); #34922=DIRECTION('',(1.,0.,0.)); #34923=DIRECTION('center_axis',(0.,1.,0.)); #34924=DIRECTION('ref_axis',(-1.,0.,6.12323399573677E-17)); #34925=DIRECTION('',(1.,0.,0.)); #34926=DIRECTION('',(0.,0.,-1.)); #34927=DIRECTION('',(0.,0.,1.)); #34928=DIRECTION('center_axis',(0.,0.,-1.)); #34929=DIRECTION('ref_axis',(-1.,0.,0.)); #34930=DIRECTION('',(0.,1.,0.)); #34931=DIRECTION('',(1.,0.,0.)); #34932=DIRECTION('',(0.,1.,0.)); #34933=DIRECTION('',(1.,0.,0.)); #34934=DIRECTION('center_axis',(0.,-1.,0.)); #34935=DIRECTION('ref_axis',(1.,0.,-6.12323399573677E-17)); #34936=DIRECTION('',(0.,0.,-1.)); #34937=DIRECTION('',(1.,0.,0.)); #34938=DIRECTION('',(0.,0.,-1.)); #34939=DIRECTION('center_axis',(0.,0.,-1.)); #34940=DIRECTION('ref_axis',(-1.,0.,0.)); #34941=DIRECTION('',(0.,1.,0.)); #34942=DIRECTION('',(1.,0.,0.)); #34943=DIRECTION('',(0.,1.,0.)); #34944=DIRECTION('center_axis',(0.,0.,-1.)); #34945=DIRECTION('ref_axis',(-1.,0.,0.)); #34946=DIRECTION('',(0.,1.,0.)); #34947=DIRECTION('',(0.,1.,0.)); #34948=DIRECTION('',(1.,0.,0.)); #34949=DIRECTION('center_axis',(0.,1.,0.)); #34950=DIRECTION('ref_axis',(-1.,0.,6.12323399573677E-17)); #34951=DIRECTION('',(1.,0.,0.)); #34952=DIRECTION('',(0.,0.,1.)); #34953=DIRECTION('',(0.,0.,1.)); #34954=DIRECTION('center_axis',(-1.,0.,0.)); #34955=DIRECTION('ref_axis',(0.,0.,1.)); #34956=DIRECTION('center_axis',(1.,0.,0.)); #34957=DIRECTION('ref_axis',(0.,0.,-1.)); #34958=DIRECTION('',(0.,0.,-1.)); #34959=DIRECTION('',(0.,1.,0.)); #34960=DIRECTION('',(0.,0.,-1.)); #34961=DIRECTION('',(0.,8.67361737988405E-15,1.)); #34962=DIRECTION('center_axis',(1.,0.,0.)); #34963=DIRECTION('ref_axis',(0.,0.,1.)); #34964=DIRECTION('',(0.,1.,0.)); #34965=DIRECTION('center_axis',(1.,0.,0.)); #34966=DIRECTION('ref_axis',(0.,0.,-1.)); #34967=DIRECTION('',(0.,0.,-1.)); #34968=DIRECTION('',(0.,4.33680868994202E-15,1.)); #34969=DIRECTION('center_axis',(1.,0.,0.)); #34970=DIRECTION('ref_axis',(0.,0.,1.)); #34971=DIRECTION('',(0.,1.,0.)); #34972=DIRECTION('center_axis',(1.,0.,0.)); #34973=DIRECTION('ref_axis',(0.,0.,-1.)); #34974=DIRECTION('',(0.,4.33680868994202E-15,-1.)); #34975=DIRECTION('',(0.,0.,1.)); #34976=DIRECTION('center_axis',(1.,0.,0.)); #34977=DIRECTION('ref_axis',(0.,0.,1.)); #34978=DIRECTION('',(0.,1.,0.)); #34979=DIRECTION('center_axis',(0.,-1.,0.)); #34980=DIRECTION('ref_axis',(1.,0.,-6.12323399573677E-17)); #34981=DIRECTION('',(1.,0.,0.)); #34982=DIRECTION('',(0.,0.,-1.)); #34983=DIRECTION('center_axis',(-1.,0.,0.)); #34984=DIRECTION('ref_axis',(0.,0.,1.)); #34985=DIRECTION('',(0.,0.,-1.)); #34986=DIRECTION('center_axis',(1.,0.,0.)); #34987=DIRECTION('ref_axis',(0.,0.,1.)); #34988=DIRECTION('',(0.,1.,0.)); #34989=DIRECTION('center_axis',(1.,0.,0.)); #34990=DIRECTION('ref_axis',(0.,0.,1.)); #34991=DIRECTION('',(0.,0.,1.)); #34992=DIRECTION('',(0.,4.33680868994202E-15,-1.)); #34993=DIRECTION('center_axis',(1.,0.,0.)); #34994=DIRECTION('ref_axis',(0.,0.,1.)); #34995=DIRECTION('',(0.,1.,0.)); #34996=DIRECTION('center_axis',(1.,0.,0.)); #34997=DIRECTION('ref_axis',(0.,0.,1.)); #34998=DIRECTION('',(0.,4.33680868994202E-15,1.)); #34999=DIRECTION('',(0.,0.,-1.)); #35000=DIRECTION('center_axis',(1.,0.,0.)); #35001=DIRECTION('ref_axis',(0.,0.,1.)); #35002=DIRECTION('',(0.,1.,0.)); #35003=DIRECTION('center_axis',(1.,0.,0.)); #35004=DIRECTION('ref_axis',(0.,0.,1.)); #35005=DIRECTION('',(0.,8.67361737988405E-15,1.)); #35006=DIRECTION('',(0.,1.,0.)); #35007=DIRECTION('center_axis',(-1.,0.,0.)); #35008=DIRECTION('ref_axis',(0.,-1.,6.12323399573677E-17)); #35009=DIRECTION('',(0.,1.,0.)); #35010=DIRECTION('',(0.,0.,-1.)); #35011=DIRECTION('',(0.,1.,0.)); #35012=DIRECTION('',(0.,0.,1.)); #35013=DIRECTION('center_axis',(0.,0.,-1.)); #35014=DIRECTION('ref_axis',(0.,1.,0.)); #35015=DIRECTION('',(-1.,0.,0.)); #35016=DIRECTION('',(0.,1.,0.)); #35017=DIRECTION('',(-1.,0.,0.)); #35018=DIRECTION('center_axis',(1.,0.,0.)); #35019=DIRECTION('ref_axis',(0.,1.,-6.12323399573677E-17)); #35020=DIRECTION('',(0.,0.,-1.)); #35021=DIRECTION('',(0.,1.,0.)); #35022=DIRECTION('',(0.,0.,-1.)); #35023=DIRECTION('center_axis',(0.,0.,-1.)); #35024=DIRECTION('ref_axis',(0.,1.,0.)); #35025=DIRECTION('',(-1.,0.,0.)); #35026=DIRECTION('',(0.,1.,0.)); #35027=DIRECTION('',(-1.,0.,0.)); #35028=DIRECTION('center_axis',(0.,0.,-1.)); #35029=DIRECTION('ref_axis',(0.,1.,0.)); #35030=DIRECTION('',(-1.,0.,0.)); #35031=DIRECTION('',(-1.,0.,0.)); #35032=DIRECTION('',(0.,1.,0.)); #35033=DIRECTION('center_axis',(-1.,0.,0.)); #35034=DIRECTION('ref_axis',(0.,-1.,6.12323399573677E-17)); #35035=DIRECTION('',(0.,1.,0.)); #35036=DIRECTION('',(0.,0.,1.)); #35037=DIRECTION('',(0.,0.,1.)); #35038=DIRECTION('center_axis',(0.,-1.,0.)); #35039=DIRECTION('ref_axis',(0.,0.,-1.)); #35040=DIRECTION('',(-1.,0.,0.)); #35041=DIRECTION('',(0.,0.,-1.)); #35042=DIRECTION('center_axis',(1.,0.,0.)); #35043=DIRECTION('ref_axis',(0.,1.,-6.12323399573677E-17)); #35044=DIRECTION('',(0.,1.,0.)); #35045=DIRECTION('',(0.,0.,-1.)); #35046=DIRECTION('center_axis',(0.,-1.,0.)); #35047=DIRECTION('ref_axis',(0.,0.,-1.)); #35048=DIRECTION('',(-1.,0.,0.)); #35049=DIRECTION('center_axis',(0.,1.,0.)); #35050=DIRECTION('ref_axis',(0.,0.,1.)); #35051=DIRECTION('',(0.,0.,-1.)); #35052=DIRECTION('',(0.,0.,-1.)); #35053=DIRECTION('',(1.,0.,0.)); #35054=DIRECTION('center_axis',(0.,-1.,0.)); #35055=DIRECTION('ref_axis',(0.,0.,-1.)); #35056=DIRECTION('',(0.,0.,-1.)); #35057=DIRECTION('',(0.,0.,-1.)); #35058=DIRECTION('',(-1.,0.,0.)); #35059=DIRECTION('center_axis',(-1.,0.,0.)); #35060=DIRECTION('ref_axis',(0.,0.,1.)); #35061=DIRECTION('',(0.,0.,-1.)); #35062=DIRECTION('',(0.,1.,0.)); #35063=DIRECTION('center_axis',(1.,0.,0.)); #35064=DIRECTION('ref_axis',(0.,0.,-1.)); #35065=DIRECTION('',(0.,0.,-1.)); #35066=DIRECTION('',(0.,0.,-1.)); #35067=DIRECTION('',(0.,-1.,0.)); #35068=DIRECTION('center_axis',(0.,-1.,0.)); #35069=DIRECTION('ref_axis',(0.,0.,-1.)); #35070=DIRECTION('',(0.,0.,-1.)); #35071=DIRECTION('',(-1.,0.,0.)); #35072=DIRECTION('center_axis',(0.,1.,0.)); #35073=DIRECTION('ref_axis',(0.,0.,1.)); #35074=DIRECTION('',(0.,0.,-1.)); #35075=DIRECTION('',(0.,0.,-1.)); #35076=DIRECTION('',(1.,0.,0.)); #35077=DIRECTION('center_axis',(1.,0.,0.)); #35078=DIRECTION('ref_axis',(0.,0.,-1.)); #35079=DIRECTION('',(0.,0.,-1.)); #35080=DIRECTION('',(0.,-1.,0.)); #35081=DIRECTION('center_axis',(-1.,0.,0.)); #35082=DIRECTION('ref_axis',(0.,0.,1.)); #35083=DIRECTION('',(0.,0.,-1.)); #35084=DIRECTION('',(0.,1.,0.)); #35085=DIRECTION('center_axis',(0.,0.,-1.)); #35086=DIRECTION('ref_axis',(-1.,0.,0.)); #35087=DIRECTION('',(0.,1.,0.)); #35088=DIRECTION('',(-1.,0.,0.)); #35089=DIRECTION('',(0.,-1.,0.)); #35090=DIRECTION('',(1.,0.,0.)); #35091=DIRECTION('center_axis',(1.,0.,0.)); #35092=DIRECTION('ref_axis',(0.,0.,-1.)); #35093=DIRECTION('center_axis',(-1.,0.,0.)); #35094=DIRECTION('ref_axis',(0.,0.,1.)); #35095=DIRECTION('center_axis',(-1.,0.,0.)); #35096=DIRECTION('ref_axis',(0.,0.,1.)); #35097=DIRECTION('center_axis',(-1.,0.,0.)); #35098=DIRECTION('ref_axis',(0.,0.,1.)); #35099=DIRECTION('center_axis',(1.,0.,0.)); #35100=DIRECTION('ref_axis',(0.,0.,1.)); #35101=DIRECTION('center_axis',(1.,0.,0.)); #35102=DIRECTION('ref_axis',(0.,0.,1.)); #35103=DIRECTION('center_axis',(-1.,0.,0.)); #35104=DIRECTION('ref_axis',(0.,0.,1.)); #35105=DIRECTION('center_axis',(-1.,0.,0.)); #35106=DIRECTION('ref_axis',(0.,0.,1.)); #35107=DIRECTION('center_axis',(0.,-1.,0.)); #35108=DIRECTION('ref_axis',(0.,0.,-1.)); #35109=DIRECTION('center_axis',(0.,1.,0.)); #35110=DIRECTION('ref_axis',(0.,0.,1.)); #35111=DIRECTION('center_axis',(0.,1.,0.)); #35112=DIRECTION('ref_axis',(0.,0.,1.)); #35113=DIRECTION('center_axis',(0.,1.,0.)); #35114=DIRECTION('ref_axis',(0.,0.,1.)); #35115=DIRECTION('center_axis',(0.,-1.,0.)); #35116=DIRECTION('ref_axis',(0.,0.,1.)); #35117=DIRECTION('center_axis',(0.,-1.,0.)); #35118=DIRECTION('ref_axis',(0.,0.,1.)); #35119=DIRECTION('center_axis',(0.,1.,0.)); #35120=DIRECTION('ref_axis',(0.,0.,1.)); #35121=DIRECTION('center_axis',(0.,1.,0.)); #35122=DIRECTION('ref_axis',(0.,0.,1.)); #35123=DIRECTION('center_axis',(-1.,0.,0.)); #35124=DIRECTION('ref_axis',(0.,0.,1.)); #35125=DIRECTION('center_axis',(1.,0.,0.)); #35126=DIRECTION('ref_axis',(0.,0.,-1.)); #35127=DIRECTION('center_axis',(1.,0.,0.)); #35128=DIRECTION('ref_axis',(0.,0.,-1.)); #35129=DIRECTION('center_axis',(1.,0.,0.)); #35130=DIRECTION('ref_axis',(0.,0.,-1.)); #35131=DIRECTION('center_axis',(-1.,0.,0.)); #35132=DIRECTION('ref_axis',(0.,0.,-1.)); #35133=DIRECTION('center_axis',(-1.,0.,0.)); #35134=DIRECTION('ref_axis',(0.,0.,-1.)); #35135=DIRECTION('center_axis',(1.,0.,0.)); #35136=DIRECTION('ref_axis',(0.,0.,-1.)); #35137=DIRECTION('center_axis',(1.,0.,0.)); #35138=DIRECTION('ref_axis',(0.,0.,-1.)); #35139=DIRECTION('center_axis',(0.,1.,0.)); #35140=DIRECTION('ref_axis',(0.,0.,1.)); #35141=DIRECTION('center_axis',(0.,-1.,0.)); #35142=DIRECTION('ref_axis',(0.,0.,-1.)); #35143=DIRECTION('center_axis',(0.,-1.,0.)); #35144=DIRECTION('ref_axis',(0.,0.,-1.)); #35145=DIRECTION('center_axis',(0.,-1.,0.)); #35146=DIRECTION('ref_axis',(0.,0.,-1.)); #35147=DIRECTION('center_axis',(0.,1.,0.)); #35148=DIRECTION('ref_axis',(0.,0.,-1.)); #35149=DIRECTION('center_axis',(0.,1.,0.)); #35150=DIRECTION('ref_axis',(0.,0.,-1.)); #35151=DIRECTION('center_axis',(0.,-1.,0.)); #35152=DIRECTION('ref_axis',(0.,0.,-1.)); #35153=DIRECTION('center_axis',(0.,-1.,0.)); #35154=DIRECTION('ref_axis',(0.,0.,-1.)); #35155=DIRECTION('center_axis',(0.,-1.,0.)); #35156=DIRECTION('ref_axis',(0.,0.,-1.)); #35157=DIRECTION('',(1.,0.,0.)); #35158=DIRECTION('center_axis',(1.,0.,0.)); #35159=DIRECTION('ref_axis',(0.,0.,-1.)); #35160=DIRECTION('',(1.,0.,0.)); #35161=DIRECTION('center_axis',(0.,0.,-1.)); #35162=DIRECTION('ref_axis',(-1.,0.,0.)); #35163=DIRECTION('',(1.,0.,0.)); #35164=DIRECTION('center_axis',(1.,0.,0.)); #35165=DIRECTION('ref_axis',(0.,0.,-1.)); #35166=DIRECTION('',(1.,0.,0.)); #35167=DIRECTION('center_axis',(0.,1.,-8.67361737988405E-15)); #35168=DIRECTION('ref_axis',(0.,8.67361737988405E-15,1.)); #35169=DIRECTION('center_axis',(0.,-1.,-4.33680868994202E-15)); #35170=DIRECTION('ref_axis',(0.,4.33680868994202E-15,-1.)); #35171=DIRECTION('',(1.,0.,0.)); #35172=DIRECTION('center_axis',(1.,0.,0.)); #35173=DIRECTION('ref_axis',(0.,0.,-1.)); #35174=DIRECTION('',(1.,0.,0.)); #35175=DIRECTION('center_axis',(0.,0.,-1.)); #35176=DIRECTION('ref_axis',(-1.,0.,0.)); #35177=DIRECTION('',(1.,0.,0.)); #35178=DIRECTION('center_axis',(1.,0.,0.)); #35179=DIRECTION('ref_axis',(0.,0.,-1.)); #35180=DIRECTION('',(1.,0.,0.)); #35181=DIRECTION('center_axis',(0.,1.,-4.33680868994202E-15)); #35182=DIRECTION('ref_axis',(0.,4.33680868994202E-15,1.)); #35183=DIRECTION('center_axis',(0.,-1.,0.)); #35184=DIRECTION('ref_axis',(0.,0.,-1.)); #35185=DIRECTION('',(1.,0.,0.)); #35186=DIRECTION('center_axis',(1.,0.,0.)); #35187=DIRECTION('ref_axis',(0.,0.,-1.)); #35188=DIRECTION('',(1.,0.,0.)); #35189=DIRECTION('center_axis',(0.,0.,-1.)); #35190=DIRECTION('ref_axis',(-1.,0.,0.)); #35191=DIRECTION('',(1.,0.,0.)); #35192=DIRECTION('center_axis',(1.,0.,0.)); #35193=DIRECTION('ref_axis',(0.,0.,-1.)); #35194=DIRECTION('',(1.,0.,0.)); #35195=DIRECTION('center_axis',(0.,1.,0.)); #35196=DIRECTION('ref_axis',(0.,0.,1.)); #35197=DIRECTION('',(0.,0.,1.)); #35198=DIRECTION('',(1.,0.,0.)); #35199=DIRECTION('',(0.,-7.80625564189563E-18,-1.)); #35200=DIRECTION('',(1.,-1.94289029309402E-16,1.09537265587024E-33)); #35201=CARTESIAN_POINT('',(0.,0.,0.)); #35202=CARTESIAN_POINT('',(0.,0.,0.)); #35203=CARTESIAN_POINT('Origin',(18.5,4.55,9.999)); #35204=CARTESIAN_POINT('',(18.5,4.8,0.701)); #35205=CARTESIAN_POINT('',(18.5,4.3,0.701)); #35206=CARTESIAN_POINT('Origin',(18.5,4.55,0.701)); #35207=CARTESIAN_POINT('',(18.5,4.3,0.699999999999999)); #35208=CARTESIAN_POINT('',(18.5,4.3,9.999)); #35209=CARTESIAN_POINT('',(18.5,4.8,0.699999999999999)); #35210=CARTESIAN_POINT('Origin',(18.5,4.55,0.699999999999999)); #35211=CARTESIAN_POINT('',(18.5,4.8,9.999)); #35212=CARTESIAN_POINT('Origin',(18.5,4.9,0.701)); #35213=CARTESIAN_POINT('',(18.5,4.9,0.701)); #35214=CARTESIAN_POINT('',(18.5,4.9,0.701)); #35215=CARTESIAN_POINT('',(18.5,4.9,0.7)); #35216=CARTESIAN_POINT('',(18.5,4.9,0.7)); #35217=CARTESIAN_POINT('',(18.5,4.9,0.701)); #35218=CARTESIAN_POINT('Origin',(18.15,4.9,0.701)); #35219=CARTESIAN_POINT('',(18.15,4.9,0.7)); #35220=CARTESIAN_POINT('',(18.15,4.2,0.7)); #35221=CARTESIAN_POINT('',(18.15,4.9,0.7)); #35222=CARTESIAN_POINT('',(18.15,4.2,0.701)); #35223=CARTESIAN_POINT('',(18.15,4.2,0.701)); #35224=CARTESIAN_POINT('',(18.15,4.9,0.701)); #35225=CARTESIAN_POINT('',(18.15,4.9,0.701)); #35226=CARTESIAN_POINT('',(18.15,4.9,0.701)); #35227=CARTESIAN_POINT('Origin',(18.15,4.2,0.701)); #35228=CARTESIAN_POINT('',(18.5,4.2,0.7)); #35229=CARTESIAN_POINT('',(18.15,4.2,0.7)); #35230=CARTESIAN_POINT('',(18.5,4.2,0.701)); #35231=CARTESIAN_POINT('',(18.5,4.2,0.701)); #35232=CARTESIAN_POINT('',(18.15,4.2,0.701)); #35233=CARTESIAN_POINT('Origin',(18.5,4.9,0.701)); #35234=CARTESIAN_POINT('',(18.5,4.9,0.7)); #35235=CARTESIAN_POINT('',(18.5,4.9,0.701)); #35236=CARTESIAN_POINT('Origin',(18.15,4.9,0.701)); #35237=CARTESIAN_POINT('',(18.15,4.9,0.7)); #35238=CARTESIAN_POINT('',(18.15,4.9,0.701)); #35239=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #35240=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #35241=CARTESIAN_POINT('Origin',(2.65,-1.38802000794322E-14,-0.001)); #35242=CARTESIAN_POINT('',(2.65,0.799999999999986,-0.1)); #35243=CARTESIAN_POINT('',(2.65,-1.38777878078145E-14,-0.1)); #35244=CARTESIAN_POINT('',(2.65,-1.38802000794322E-14,-0.1)); #35245=CARTESIAN_POINT('',(2.65,0.799999999999986,-0.101)); #35246=CARTESIAN_POINT('',(2.65,0.799999999999986,-0.001)); #35247=CARTESIAN_POINT('',(2.65,-1.38802000794322E-14,-0.101)); #35248=CARTESIAN_POINT('',(2.65,-1.38802000794322E-14,-0.101)); #35249=CARTESIAN_POINT('',(2.65,-1.38802000794322E-14,-0.001)); #35250=CARTESIAN_POINT('Origin',(3.35,0.799999999999986,-0.001)); #35251=CARTESIAN_POINT('',(3.35,0.,-0.1)); #35252=CARTESIAN_POINT('',(3.35,0.799999999999986,-0.1)); #35253=CARTESIAN_POINT('',(3.35,-1.39659253553725E-14,-0.1)); #35254=CARTESIAN_POINT('',(3.35,-1.39659253553725E-14,-0.101)); #35255=CARTESIAN_POINT('',(3.35,-1.39659253553725E-14,-0.001)); #35256=CARTESIAN_POINT('',(3.35,0.799999999999986,-0.101)); #35257=CARTESIAN_POINT('',(3.35,-1.39659253553725E-14,-0.101)); #35258=CARTESIAN_POINT('',(3.35,0.799999999999986,-0.001)); #35259=CARTESIAN_POINT('Origin',(2.65,0.799999999999986,-0.001)); #35260=CARTESIAN_POINT('',(2.65,0.799999999999986,-0.1)); #35261=CARTESIAN_POINT('',(2.65,0.799999999999986,-0.101)); #35262=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35263=CARTESIAN_POINT('',(3.25,-1.39536788873811E-14,-0.101)); #35264=CARTESIAN_POINT('',(2.65,-1.38802000794322E-14,-0.101)); #35265=CARTESIAN_POINT('',(2.75,-1.38924465474237E-14,-0.101)); #35266=CARTESIAN_POINT('Origin',(3.,0.,-0.101)); #35267=CARTESIAN_POINT('',(2.65,-1.38802000794322E-14,-0.101)); #35268=CARTESIAN_POINT('Origin',(6.65,-4.18120507901773E-14,-0.001)); #35269=CARTESIAN_POINT('',(6.65,0.799999999999959,-0.1)); #35270=CARTESIAN_POINT('',(6.65,-4.16333634234434E-14,-0.1)); #35271=CARTESIAN_POINT('',(6.65,-4.18120507901773E-14,-0.1)); #35272=CARTESIAN_POINT('',(6.65,0.799999999999958,-0.101)); #35273=CARTESIAN_POINT('',(6.65,0.799999999999958,-0.001)); #35274=CARTESIAN_POINT('',(6.65,-4.18120507901773E-14,-0.101)); #35275=CARTESIAN_POINT('',(6.65,-4.18120507901773E-14,-0.101)); #35276=CARTESIAN_POINT('',(6.65,-4.18120507901773E-14,-0.001)); #35277=CARTESIAN_POINT('Origin',(7.35,0.799999999999958,-0.001)); #35278=CARTESIAN_POINT('',(7.35,0.,-0.1)); #35279=CARTESIAN_POINT('',(7.35,0.799999999999958,-0.1)); #35280=CARTESIAN_POINT('',(7.35,-4.18977760661176E-14,-0.1)); #35281=CARTESIAN_POINT('',(7.35,-4.18977760661176E-14,-0.101)); #35282=CARTESIAN_POINT('',(7.35,-4.18977760661176E-14,-0.001)); #35283=CARTESIAN_POINT('',(7.35,0.799999999999958,-0.101)); #35284=CARTESIAN_POINT('',(7.35,-4.18977760661176E-14,-0.101)); #35285=CARTESIAN_POINT('',(7.35,0.799999999999958,-0.001)); #35286=CARTESIAN_POINT('Origin',(6.65,0.799999999999958,-0.001)); #35287=CARTESIAN_POINT('',(6.65,0.799999999999958,-0.1)); #35288=CARTESIAN_POINT('',(6.65,0.799999999999958,-0.101)); #35289=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35290=CARTESIAN_POINT('',(7.25,-4.18855295981262E-14,-0.101)); #35291=CARTESIAN_POINT('',(6.65,-4.18120507901773E-14,-0.101)); #35292=CARTESIAN_POINT('',(6.75,-4.18242972581688E-14,-0.101)); #35293=CARTESIAN_POINT('Origin',(7.,0.,-0.101)); #35294=CARTESIAN_POINT('',(6.65,-4.18120507901773E-14,-0.101)); #35295=CARTESIAN_POINT('Origin',(10.65,-6.97439015009224E-14,-0.001)); #35296=CARTESIAN_POINT('',(10.65,0.79999999999993,-0.1)); #35297=CARTESIAN_POINT('',(10.65,-6.99440505513849E-14,-0.1)); #35298=CARTESIAN_POINT('',(10.65,-6.97439015009224E-14,-0.1)); #35299=CARTESIAN_POINT('',(10.65,0.79999999999993,-0.101)); #35300=CARTESIAN_POINT('',(10.65,0.79999999999993,-0.001)); #35301=CARTESIAN_POINT('',(10.65,-6.97439015009224E-14,-0.101)); #35302=CARTESIAN_POINT('',(10.65,-6.97439015009224E-14,-0.101)); #35303=CARTESIAN_POINT('',(10.65,-6.97439015009224E-14,-0.001)); #35304=CARTESIAN_POINT('Origin',(11.35,0.79999999999993,-0.001)); #35305=CARTESIAN_POINT('',(11.35,0.,-0.1)); #35306=CARTESIAN_POINT('',(11.35,0.79999999999993,-0.1)); #35307=CARTESIAN_POINT('',(11.35,-6.98296267768627E-14,-0.1)); #35308=CARTESIAN_POINT('',(11.35,-6.98296267768627E-14,-0.101)); #35309=CARTESIAN_POINT('',(11.35,-6.98296267768627E-14,-0.001)); #35310=CARTESIAN_POINT('',(11.35,0.79999999999993,-0.101)); #35311=CARTESIAN_POINT('',(11.35,-6.98296267768627E-14,-0.101)); #35312=CARTESIAN_POINT('',(11.35,0.79999999999993,-0.001)); #35313=CARTESIAN_POINT('Origin',(10.65,0.79999999999993,-0.001)); #35314=CARTESIAN_POINT('',(10.65,0.79999999999993,-0.1)); #35315=CARTESIAN_POINT('',(10.65,0.79999999999993,-0.101)); #35316=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35317=CARTESIAN_POINT('',(11.25,-6.98173803088708E-14,-0.101)); #35318=CARTESIAN_POINT('',(10.65,-6.97439015009224E-14,-0.101)); #35319=CARTESIAN_POINT('',(10.75,-6.97561479689138E-14,-0.101)); #35320=CARTESIAN_POINT('Origin',(11.,0.,-0.101)); #35321=CARTESIAN_POINT('',(10.65,-6.97439015009224E-14,-0.101)); #35322=CARTESIAN_POINT('Origin',(15.65,-1.04658714889354E-13,-0.001)); #35323=CARTESIAN_POINT('',(15.65,0.799999999999896,-0.1)); #35324=CARTESIAN_POINT('',(15.65,-1.04916075827077E-13,-0.1)); #35325=CARTESIAN_POINT('',(15.65,-1.04658714889354E-13,-0.1)); #35326=CARTESIAN_POINT('',(15.65,0.799999999999895,-0.101)); #35327=CARTESIAN_POINT('',(15.65,0.799999999999895,-0.001)); #35328=CARTESIAN_POINT('',(15.65,-1.04658714889354E-13,-0.101)); #35329=CARTESIAN_POINT('',(15.65,-1.04658714889354E-13,-0.101)); #35330=CARTESIAN_POINT('',(15.65,-1.04658714889354E-13,-0.001)); #35331=CARTESIAN_POINT('Origin',(16.35,0.799999999999895,-0.001)); #35332=CARTESIAN_POINT('',(16.35,0.,-0.1)); #35333=CARTESIAN_POINT('',(16.35,0.799999999999895,-0.1)); #35334=CARTESIAN_POINT('',(16.35,-1.04744440165294E-13,-0.1)); #35335=CARTESIAN_POINT('',(16.35,-1.04744440165294E-13,-0.101)); #35336=CARTESIAN_POINT('',(16.35,-1.04744440165294E-13,-0.001)); #35337=CARTESIAN_POINT('',(16.35,0.799999999999895,-0.101)); #35338=CARTESIAN_POINT('',(16.35,-1.04744440165294E-13,-0.101)); #35339=CARTESIAN_POINT('',(16.35,0.799999999999895,-0.001)); #35340=CARTESIAN_POINT('Origin',(15.65,0.799999999999895,-0.001)); #35341=CARTESIAN_POINT('',(15.65,0.799999999999895,-0.1)); #35342=CARTESIAN_POINT('',(15.65,0.799999999999895,-0.101)); #35343=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35344=CARTESIAN_POINT('',(16.25,-1.04732193697302E-13,-0.101)); #35345=CARTESIAN_POINT('',(15.65,-1.04658714889354E-13,-0.101)); #35346=CARTESIAN_POINT('',(15.75,-1.04670961357345E-13,-0.101)); #35347=CARTESIAN_POINT('Origin',(16.,0.,-0.101)); #35348=CARTESIAN_POINT('',(15.65,-1.04658714889354E-13,-0.101)); #35349=CARTESIAN_POINT('Origin',(18.5,4.2,-0.001)); #35350=CARTESIAN_POINT('',(17.7,4.2,-0.1)); #35351=CARTESIAN_POINT('',(18.5,4.2,-0.1)); #35352=CARTESIAN_POINT('',(18.5,4.2,-0.1)); #35353=CARTESIAN_POINT('',(17.7,4.2,-0.101)); #35354=CARTESIAN_POINT('',(17.7,4.2,-0.001)); #35355=CARTESIAN_POINT('',(18.5,4.2,-0.101)); #35356=CARTESIAN_POINT('',(18.5,4.2,-0.101)); #35357=CARTESIAN_POINT('',(18.5,4.2,-0.001)); #35358=CARTESIAN_POINT('Origin',(17.7,4.9,-0.001)); #35359=CARTESIAN_POINT('',(18.5,4.9,-0.1)); #35360=CARTESIAN_POINT('',(17.7,4.9,-0.1)); #35361=CARTESIAN_POINT('',(18.5,4.9,-0.1)); #35362=CARTESIAN_POINT('',(18.5,4.9,-0.101)); #35363=CARTESIAN_POINT('',(18.5,4.9,-0.001)); #35364=CARTESIAN_POINT('',(17.7,4.9,-0.101)); #35365=CARTESIAN_POINT('',(18.5,4.9,-0.101)); #35366=CARTESIAN_POINT('',(17.7,4.9,-0.001)); #35367=CARTESIAN_POINT('Origin',(17.7,4.2,-0.001)); #35368=CARTESIAN_POINT('',(17.7,4.2,-0.1)); #35369=CARTESIAN_POINT('',(17.7,4.2,-0.101)); #35370=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35371=CARTESIAN_POINT('',(18.5,4.8,-0.101)); #35372=CARTESIAN_POINT('',(18.5,4.2,-0.101)); #35373=CARTESIAN_POINT('',(18.5,4.3,-0.100999999999999)); #35374=CARTESIAN_POINT('Origin',(18.5,4.55,-0.100999999999999)); #35375=CARTESIAN_POINT('',(18.5,4.2,-0.101)); #35376=CARTESIAN_POINT('Origin',(18.5,14.1,-0.001)); #35377=CARTESIAN_POINT('',(17.7,14.1,-0.1)); #35378=CARTESIAN_POINT('',(18.5,14.1,-0.1)); #35379=CARTESIAN_POINT('',(18.5,14.1,-0.1)); #35380=CARTESIAN_POINT('',(17.7,14.1,-0.101)); #35381=CARTESIAN_POINT('',(17.7,14.1,-0.001)); #35382=CARTESIAN_POINT('',(18.5,14.1,-0.101)); #35383=CARTESIAN_POINT('',(18.5,14.1,-0.101)); #35384=CARTESIAN_POINT('',(18.5,14.1,-0.001)); #35385=CARTESIAN_POINT('Origin',(17.7,14.8,-0.001)); #35386=CARTESIAN_POINT('',(18.5,14.8,-0.1)); #35387=CARTESIAN_POINT('',(17.7,14.8,-0.1)); #35388=CARTESIAN_POINT('',(18.5,14.8,-0.1)); #35389=CARTESIAN_POINT('',(18.5,14.8,-0.101)); #35390=CARTESIAN_POINT('',(18.5,14.8,-0.001)); #35391=CARTESIAN_POINT('',(17.7,14.8,-0.101)); #35392=CARTESIAN_POINT('',(18.5,14.8,-0.101)); #35393=CARTESIAN_POINT('',(17.7,14.8,-0.001)); #35394=CARTESIAN_POINT('Origin',(17.7,14.1,-0.001)); #35395=CARTESIAN_POINT('',(17.7,14.8,-0.1)); #35396=CARTESIAN_POINT('',(17.7,14.8,-0.101)); #35397=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35398=CARTESIAN_POINT('',(18.5,14.7,-0.101)); #35399=CARTESIAN_POINT('',(18.5,14.2,-0.100999999999999)); #35400=CARTESIAN_POINT('Origin',(18.5,14.45,-0.100999999999999)); #35401=CARTESIAN_POINT('',(18.5,14.8,-0.101)); #35402=CARTESIAN_POINT('',(18.5,14.8,-0.101)); #35403=CARTESIAN_POINT('Origin',(16.35,18.9999999999999,-0.001)); #35404=CARTESIAN_POINT('',(16.35,18.1999999999999,-0.1)); #35405=CARTESIAN_POINT('',(16.35,18.9999999999999,-0.1)); #35406=CARTESIAN_POINT('',(16.35,18.9999999999999,-0.1)); #35407=CARTESIAN_POINT('',(16.35,18.1999999999999,-0.101)); #35408=CARTESIAN_POINT('',(16.35,18.1999999999999,-0.001)); #35409=CARTESIAN_POINT('',(16.35,18.9999999999999,-0.101)); #35410=CARTESIAN_POINT('',(16.35,18.9999999999999,-0.101)); #35411=CARTESIAN_POINT('',(16.35,18.9999999999999,-0.001)); #35412=CARTESIAN_POINT('Origin',(15.65,18.1999999999999,-0.001)); #35413=CARTESIAN_POINT('',(15.65,19.,-0.1)); #35414=CARTESIAN_POINT('',(15.65,18.1999999999999,-0.1)); #35415=CARTESIAN_POINT('',(15.65,18.9999999999999,-0.1)); #35416=CARTESIAN_POINT('',(15.65,18.9999999999999,-0.101)); #35417=CARTESIAN_POINT('',(15.65,18.9999999999999,-0.001)); #35418=CARTESIAN_POINT('',(15.65,18.1999999999999,-0.101)); #35419=CARTESIAN_POINT('',(15.65,18.9999999999999,-0.101)); #35420=CARTESIAN_POINT('',(15.65,18.1999999999999,-0.001)); #35421=CARTESIAN_POINT('Origin',(16.35,18.1999999999999,-0.001)); #35422=CARTESIAN_POINT('',(15.65,18.1999999999999,-0.1)); #35423=CARTESIAN_POINT('',(15.65,18.1999999999999,-0.101)); #35424=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35425=CARTESIAN_POINT('',(15.75,18.9999999999999,-0.101)); #35426=CARTESIAN_POINT('',(16.25,18.9999999999999,-0.101)); #35427=CARTESIAN_POINT('Origin',(16.,19.,-0.101)); #35428=CARTESIAN_POINT('',(15.65,18.9999999999999,-0.101)); #35429=CARTESIAN_POINT('',(15.65,18.9999999999999,-0.101)); #35430=CARTESIAN_POINT('Origin',(11.35,18.9999999999999,-0.001)); #35431=CARTESIAN_POINT('',(11.35,18.1999999999999,-0.1)); #35432=CARTESIAN_POINT('',(11.35,18.9999999999999,-0.1)); #35433=CARTESIAN_POINT('',(11.35,18.9999999999999,-0.1)); #35434=CARTESIAN_POINT('',(11.35,18.1999999999999,-0.101)); #35435=CARTESIAN_POINT('',(11.35,18.1999999999999,-0.001)); #35436=CARTESIAN_POINT('',(11.35,18.9999999999999,-0.101)); #35437=CARTESIAN_POINT('',(11.35,18.9999999999999,-0.101)); #35438=CARTESIAN_POINT('',(11.35,18.9999999999999,-0.001)); #35439=CARTESIAN_POINT('Origin',(10.65,18.1999999999999,-0.001)); #35440=CARTESIAN_POINT('',(10.65,19.,-0.1)); #35441=CARTESIAN_POINT('',(10.65,18.1999999999999,-0.1)); #35442=CARTESIAN_POINT('',(10.65,18.9999999999999,-0.1)); #35443=CARTESIAN_POINT('',(10.65,18.9999999999999,-0.101)); #35444=CARTESIAN_POINT('',(10.65,18.9999999999999,-0.001)); #35445=CARTESIAN_POINT('',(10.65,18.1999999999999,-0.101)); #35446=CARTESIAN_POINT('',(10.65,18.9999999999999,-0.101)); #35447=CARTESIAN_POINT('',(10.65,18.1999999999999,-0.001)); #35448=CARTESIAN_POINT('Origin',(11.35,18.1999999999999,-0.001)); #35449=CARTESIAN_POINT('',(10.65,18.1999999999999,-0.1)); #35450=CARTESIAN_POINT('',(10.65,18.1999999999999,-0.101)); #35451=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35452=CARTESIAN_POINT('',(10.75,18.9999999999999,-0.101)); #35453=CARTESIAN_POINT('',(11.25,18.9999999999999,-0.101)); #35454=CARTESIAN_POINT('Origin',(11.,19.,-0.101)); #35455=CARTESIAN_POINT('',(10.65,18.9999999999999,-0.101)); #35456=CARTESIAN_POINT('',(10.65,18.9999999999999,-0.101)); #35457=CARTESIAN_POINT('Origin',(7.35,19.,-0.001)); #35458=CARTESIAN_POINT('',(7.35,18.2,-0.1)); #35459=CARTESIAN_POINT('',(7.35,19.,-0.1)); #35460=CARTESIAN_POINT('',(7.35,19.,-0.1)); #35461=CARTESIAN_POINT('',(7.35,18.2,-0.101)); #35462=CARTESIAN_POINT('',(7.35,18.2,-0.001)); #35463=CARTESIAN_POINT('',(7.35,19.,-0.101)); #35464=CARTESIAN_POINT('',(7.35,19.,-0.101)); #35465=CARTESIAN_POINT('',(7.35,19.,-0.001)); #35466=CARTESIAN_POINT('Origin',(6.65,18.2,-0.001)); #35467=CARTESIAN_POINT('',(6.65,19.,-0.1)); #35468=CARTESIAN_POINT('',(6.65,18.2,-0.1)); #35469=CARTESIAN_POINT('',(6.65,19.,-0.1)); #35470=CARTESIAN_POINT('',(6.65,19.,-0.101)); #35471=CARTESIAN_POINT('',(6.65,19.,-0.001)); #35472=CARTESIAN_POINT('',(6.65,18.2,-0.101)); #35473=CARTESIAN_POINT('',(6.65,19.,-0.101)); #35474=CARTESIAN_POINT('',(6.65,18.2,-0.001)); #35475=CARTESIAN_POINT('Origin',(7.35,18.2,-0.001)); #35476=CARTESIAN_POINT('',(6.65,18.2,-0.1)); #35477=CARTESIAN_POINT('',(6.65,18.2,-0.101)); #35478=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35479=CARTESIAN_POINT('',(6.75,19.,-0.101)); #35480=CARTESIAN_POINT('',(7.25,19.,-0.101)); #35481=CARTESIAN_POINT('Origin',(7.,19.,-0.101)); #35482=CARTESIAN_POINT('',(6.65,19.,-0.101)); #35483=CARTESIAN_POINT('',(6.65,19.,-0.101)); #35484=CARTESIAN_POINT('Origin',(3.35,19.,-0.001)); #35485=CARTESIAN_POINT('',(3.35,18.2,-0.1)); #35486=CARTESIAN_POINT('',(3.35,19.,-0.1)); #35487=CARTESIAN_POINT('',(3.35,19.,-0.1)); #35488=CARTESIAN_POINT('',(3.35,18.2,-0.101)); #35489=CARTESIAN_POINT('',(3.35,18.2,-0.001)); #35490=CARTESIAN_POINT('',(3.35,19.,-0.101)); #35491=CARTESIAN_POINT('',(3.35,19.,-0.101)); #35492=CARTESIAN_POINT('',(3.35,19.,-0.001)); #35493=CARTESIAN_POINT('Origin',(2.65,18.2,-0.001)); #35494=CARTESIAN_POINT('',(2.65,19.,-0.1)); #35495=CARTESIAN_POINT('',(2.65,18.2,-0.1)); #35496=CARTESIAN_POINT('',(2.65,19.,-0.1)); #35497=CARTESIAN_POINT('',(2.65,19.,-0.101)); #35498=CARTESIAN_POINT('',(2.65,19.,-0.001)); #35499=CARTESIAN_POINT('',(2.65,18.2,-0.101)); #35500=CARTESIAN_POINT('',(2.65,19.,-0.101)); #35501=CARTESIAN_POINT('',(2.65,18.2,-0.001)); #35502=CARTESIAN_POINT('Origin',(3.35,18.2,-0.001)); #35503=CARTESIAN_POINT('',(2.65,18.2,-0.1)); #35504=CARTESIAN_POINT('',(2.65,18.2,-0.101)); #35505=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35506=CARTESIAN_POINT('',(2.75,19.,-0.101)); #35507=CARTESIAN_POINT('',(3.25,19.,-0.101)); #35508=CARTESIAN_POINT('Origin',(3.,19.,-0.101)); #35509=CARTESIAN_POINT('',(2.65,19.,-0.101)); #35510=CARTESIAN_POINT('',(2.65,19.,-0.101)); #35511=CARTESIAN_POINT('Origin',(2.35,19.,-0.001)); #35512=CARTESIAN_POINT('',(2.35,18.2,-0.1)); #35513=CARTESIAN_POINT('',(2.35,19.,-0.1)); #35514=CARTESIAN_POINT('',(2.35,19.,-0.1)); #35515=CARTESIAN_POINT('',(2.35,18.2,-0.101)); #35516=CARTESIAN_POINT('',(2.35,18.2,-0.001)); #35517=CARTESIAN_POINT('',(2.35,19.,-0.101)); #35518=CARTESIAN_POINT('',(2.35,19.,-0.101)); #35519=CARTESIAN_POINT('',(2.35,19.,-0.001)); #35520=CARTESIAN_POINT('Origin',(1.65,18.2,-0.001)); #35521=CARTESIAN_POINT('',(1.65,19.,-0.1)); #35522=CARTESIAN_POINT('',(1.65,18.2,-0.1)); #35523=CARTESIAN_POINT('',(1.65,19.,-0.1)); #35524=CARTESIAN_POINT('',(1.65,19.,-0.101)); #35525=CARTESIAN_POINT('',(1.65,19.,-0.001)); #35526=CARTESIAN_POINT('',(1.65,18.2,-0.101)); #35527=CARTESIAN_POINT('',(1.65,19.,-0.101)); #35528=CARTESIAN_POINT('',(1.65,18.2,-0.001)); #35529=CARTESIAN_POINT('Origin',(2.35,18.2,-0.001)); #35530=CARTESIAN_POINT('',(1.65,18.2,-0.1)); #35531=CARTESIAN_POINT('',(1.65,18.2,-0.101)); #35532=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35533=CARTESIAN_POINT('',(1.75,19.,-0.101)); #35534=CARTESIAN_POINT('',(2.25,19.,-0.101)); #35535=CARTESIAN_POINT('Origin',(2.,19.,-0.101)); #35536=CARTESIAN_POINT('',(1.65,19.,-0.101)); #35537=CARTESIAN_POINT('',(1.65,19.,-0.101)); #35538=CARTESIAN_POINT('Origin',(6.35,19.,-0.001)); #35539=CARTESIAN_POINT('',(6.35,18.2,-0.1)); #35540=CARTESIAN_POINT('',(6.35,19.,-0.1)); #35541=CARTESIAN_POINT('',(6.35,19.,-0.1)); #35542=CARTESIAN_POINT('',(6.35,18.2,-0.101)); #35543=CARTESIAN_POINT('',(6.35,18.2,-0.001)); #35544=CARTESIAN_POINT('',(6.35,19.,-0.101)); #35545=CARTESIAN_POINT('',(6.35,19.,-0.101)); #35546=CARTESIAN_POINT('',(6.35,19.,-0.001)); #35547=CARTESIAN_POINT('Origin',(5.65,18.2,-0.001)); #35548=CARTESIAN_POINT('',(5.65,19.,-0.1)); #35549=CARTESIAN_POINT('',(5.65,18.2,-0.1)); #35550=CARTESIAN_POINT('',(5.65,19.,-0.1)); #35551=CARTESIAN_POINT('',(5.65,19.,-0.101)); #35552=CARTESIAN_POINT('',(5.65,19.,-0.001)); #35553=CARTESIAN_POINT('',(5.65,18.2,-0.101)); #35554=CARTESIAN_POINT('',(5.65,19.,-0.101)); #35555=CARTESIAN_POINT('',(5.65,18.2,-0.001)); #35556=CARTESIAN_POINT('Origin',(6.35,18.2,-0.001)); #35557=CARTESIAN_POINT('',(5.65,18.2,-0.1)); #35558=CARTESIAN_POINT('',(5.65,18.2,-0.101)); #35559=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35560=CARTESIAN_POINT('',(5.75,19.,-0.101)); #35561=CARTESIAN_POINT('',(6.25,19.,-0.101)); #35562=CARTESIAN_POINT('Origin',(6.,19.,-0.101)); #35563=CARTESIAN_POINT('',(5.65,19.,-0.101)); #35564=CARTESIAN_POINT('',(5.65,19.,-0.101)); #35565=CARTESIAN_POINT('Origin',(10.35,18.9999999999999,-0.001)); #35566=CARTESIAN_POINT('',(10.35,18.1999999999999,-0.1)); #35567=CARTESIAN_POINT('',(10.35,18.9999999999999,-0.1)); #35568=CARTESIAN_POINT('',(10.35,18.9999999999999,-0.1)); #35569=CARTESIAN_POINT('',(10.35,18.1999999999999,-0.101)); #35570=CARTESIAN_POINT('',(10.35,18.1999999999999,-0.001)); #35571=CARTESIAN_POINT('',(10.35,18.9999999999999,-0.101)); #35572=CARTESIAN_POINT('',(10.35,18.9999999999999,-0.101)); #35573=CARTESIAN_POINT('',(10.35,18.9999999999999,-0.001)); #35574=CARTESIAN_POINT('Origin',(9.65,18.1999999999999,-0.001)); #35575=CARTESIAN_POINT('',(9.65,19.,-0.1)); #35576=CARTESIAN_POINT('',(9.65,18.1999999999999,-0.1)); #35577=CARTESIAN_POINT('',(9.65,18.9999999999999,-0.1)); #35578=CARTESIAN_POINT('',(9.65,18.9999999999999,-0.101)); #35579=CARTESIAN_POINT('',(9.65,18.9999999999999,-0.001)); #35580=CARTESIAN_POINT('',(9.65,18.1999999999999,-0.101)); #35581=CARTESIAN_POINT('',(9.65,18.9999999999999,-0.101)); #35582=CARTESIAN_POINT('',(9.65,18.1999999999999,-0.001)); #35583=CARTESIAN_POINT('Origin',(10.35,18.1999999999999,-0.001)); #35584=CARTESIAN_POINT('',(9.65,18.1999999999999,-0.1)); #35585=CARTESIAN_POINT('',(9.65,18.1999999999999,-0.101)); #35586=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35587=CARTESIAN_POINT('',(9.75,18.9999999999999,-0.101)); #35588=CARTESIAN_POINT('',(10.25,18.9999999999999,-0.101)); #35589=CARTESIAN_POINT('Origin',(10.,19.,-0.101)); #35590=CARTESIAN_POINT('',(9.65,18.9999999999999,-0.101)); #35591=CARTESIAN_POINT('',(9.65,18.9999999999999,-0.101)); #35592=CARTESIAN_POINT('Origin',(15.35,18.9999999999999,-0.001)); #35593=CARTESIAN_POINT('',(15.35,18.1999999999999,-0.1)); #35594=CARTESIAN_POINT('',(15.35,18.9999999999999,-0.1)); #35595=CARTESIAN_POINT('',(15.35,18.9999999999999,-0.1)); #35596=CARTESIAN_POINT('',(15.35,18.1999999999999,-0.101)); #35597=CARTESIAN_POINT('',(15.35,18.1999999999999,-0.001)); #35598=CARTESIAN_POINT('',(15.35,18.9999999999999,-0.101)); #35599=CARTESIAN_POINT('',(15.35,18.9999999999999,-0.101)); #35600=CARTESIAN_POINT('',(15.35,18.9999999999999,-0.001)); #35601=CARTESIAN_POINT('Origin',(14.65,18.1999999999999,-0.001)); #35602=CARTESIAN_POINT('',(14.65,19.,-0.1)); #35603=CARTESIAN_POINT('',(14.65,18.1999999999999,-0.1)); #35604=CARTESIAN_POINT('',(14.65,18.9999999999999,-0.1)); #35605=CARTESIAN_POINT('',(14.65,18.9999999999999,-0.101)); #35606=CARTESIAN_POINT('',(14.65,18.9999999999999,-0.001)); #35607=CARTESIAN_POINT('',(14.65,18.1999999999999,-0.101)); #35608=CARTESIAN_POINT('',(14.65,18.9999999999999,-0.101)); #35609=CARTESIAN_POINT('',(14.65,18.1999999999999,-0.001)); #35610=CARTESIAN_POINT('Origin',(15.35,18.1999999999999,-0.001)); #35611=CARTESIAN_POINT('',(14.65,18.1999999999999,-0.1)); #35612=CARTESIAN_POINT('',(14.65,18.1999999999999,-0.101)); #35613=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35614=CARTESIAN_POINT('',(14.75,18.9999999999999,-0.101)); #35615=CARTESIAN_POINT('',(15.25,18.9999999999999,-0.101)); #35616=CARTESIAN_POINT('Origin',(15.,19.,-0.101)); #35617=CARTESIAN_POINT('',(14.65,18.9999999999999,-0.101)); #35618=CARTESIAN_POINT('',(14.65,18.9999999999999,-0.101)); #35619=CARTESIAN_POINT('Origin',(18.5,15.1,-0.001)); #35620=CARTESIAN_POINT('',(17.7,15.1,-0.1)); #35621=CARTESIAN_POINT('',(18.5,15.1,-0.1)); #35622=CARTESIAN_POINT('',(18.5,15.1,-0.1)); #35623=CARTESIAN_POINT('',(17.7,15.1,-0.101)); #35624=CARTESIAN_POINT('',(17.7,15.1,-0.001)); #35625=CARTESIAN_POINT('',(18.5,15.1,-0.101)); #35626=CARTESIAN_POINT('',(18.5,15.1,-0.101)); #35627=CARTESIAN_POINT('',(18.5,15.1,-0.001)); #35628=CARTESIAN_POINT('Origin',(17.7,15.8,-0.001)); #35629=CARTESIAN_POINT('',(18.5,15.8,-0.1)); #35630=CARTESIAN_POINT('',(17.7,15.8,-0.1)); #35631=CARTESIAN_POINT('',(18.5,15.8,-0.1)); #35632=CARTESIAN_POINT('',(18.5,15.8,-0.101)); #35633=CARTESIAN_POINT('',(18.5,15.8,-0.001)); #35634=CARTESIAN_POINT('',(17.7,15.8,-0.101)); #35635=CARTESIAN_POINT('',(18.5,15.8,-0.101)); #35636=CARTESIAN_POINT('',(17.7,15.8,-0.001)); #35637=CARTESIAN_POINT('Origin',(17.7,15.1,-0.001)); #35638=CARTESIAN_POINT('',(17.7,15.8,-0.1)); #35639=CARTESIAN_POINT('',(17.7,15.8,-0.101)); #35640=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35641=CARTESIAN_POINT('',(18.5,15.7,-0.101)); #35642=CARTESIAN_POINT('',(18.5,15.2,-0.100999999999999)); #35643=CARTESIAN_POINT('Origin',(18.5,15.45,-0.100999999999999)); #35644=CARTESIAN_POINT('',(18.5,15.8,-0.101)); #35645=CARTESIAN_POINT('',(18.5,15.8,-0.101)); #35646=CARTESIAN_POINT('Origin',(18.5,9.7,-0.001)); #35647=CARTESIAN_POINT('',(17.7,9.7,-0.1)); #35648=CARTESIAN_POINT('',(18.5,9.7,-0.1)); #35649=CARTESIAN_POINT('',(18.5,9.7,-0.1)); #35650=CARTESIAN_POINT('',(17.7,9.7,-0.101)); #35651=CARTESIAN_POINT('',(17.7,9.7,-0.001)); #35652=CARTESIAN_POINT('',(18.5,9.7,-0.101)); #35653=CARTESIAN_POINT('',(18.5,9.7,-0.101)); #35654=CARTESIAN_POINT('',(18.5,9.7,-0.001)); #35655=CARTESIAN_POINT('Origin',(17.7,10.4,-0.001)); #35656=CARTESIAN_POINT('',(18.5,10.4,-0.1)); #35657=CARTESIAN_POINT('',(17.7,10.4,-0.1)); #35658=CARTESIAN_POINT('',(18.5,10.4,-0.1)); #35659=CARTESIAN_POINT('',(18.5,10.4,-0.101)); #35660=CARTESIAN_POINT('',(18.5,10.4,-0.001)); #35661=CARTESIAN_POINT('',(17.7,10.4,-0.101)); #35662=CARTESIAN_POINT('',(18.5,10.4,-0.101)); #35663=CARTESIAN_POINT('',(17.7,10.4,-0.001)); #35664=CARTESIAN_POINT('Origin',(17.7,9.7,-0.001)); #35665=CARTESIAN_POINT('',(17.7,9.7,-0.1)); #35666=CARTESIAN_POINT('',(17.7,9.7,-0.101)); #35667=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35668=CARTESIAN_POINT('',(18.5,10.3,-0.101)); #35669=CARTESIAN_POINT('',(18.5,9.7,-0.101)); #35670=CARTESIAN_POINT('',(18.5,9.8,-0.100999999999999)); #35671=CARTESIAN_POINT('Origin',(18.5,10.05,-0.100999999999999)); #35672=CARTESIAN_POINT('',(18.5,9.7,-0.101)); #35673=CARTESIAN_POINT('Origin',(16.65,-1.1164167756704E-13,-0.001)); #35674=CARTESIAN_POINT('',(16.65,0.799999999999889,-0.1)); #35675=CARTESIAN_POINT('',(16.65,-1.11577413974828E-13,-0.1)); #35676=CARTESIAN_POINT('',(16.65,-1.1164167756704E-13,-0.1)); #35677=CARTESIAN_POINT('',(16.65,0.799999999999888,-0.101)); #35678=CARTESIAN_POINT('',(16.65,0.799999999999888,-0.001)); #35679=CARTESIAN_POINT('',(16.65,-1.1164167756704E-13,-0.101)); #35680=CARTESIAN_POINT('',(16.65,-1.1164167756704E-13,-0.101)); #35681=CARTESIAN_POINT('',(16.65,-1.1164167756704E-13,-0.001)); #35682=CARTESIAN_POINT('Origin',(17.35,0.799999999999888,-0.001)); #35683=CARTESIAN_POINT('',(17.35,0.,-0.1)); #35684=CARTESIAN_POINT('',(17.35,0.799999999999888,-0.1)); #35685=CARTESIAN_POINT('',(17.35,-1.1172740284298E-13,-0.1)); #35686=CARTESIAN_POINT('',(17.35,-1.1172740284298E-13,-0.101)); #35687=CARTESIAN_POINT('',(17.35,-1.1172740284298E-13,-0.001)); #35688=CARTESIAN_POINT('',(17.35,0.799999999999888,-0.101)); #35689=CARTESIAN_POINT('',(17.35,-1.1172740284298E-13,-0.101)); #35690=CARTESIAN_POINT('',(17.35,0.799999999999888,-0.001)); #35691=CARTESIAN_POINT('Origin',(16.65,0.799999999999888,-0.001)); #35692=CARTESIAN_POINT('',(16.65,0.799999999999888,-0.1)); #35693=CARTESIAN_POINT('',(16.65,0.799999999999888,-0.101)); #35694=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35695=CARTESIAN_POINT('',(17.25,-1.11715156374988E-13,-0.101)); #35696=CARTESIAN_POINT('',(16.65,-1.1164167756704E-13,-0.101)); #35697=CARTESIAN_POINT('',(16.75,-1.11653924035031E-13,-0.101)); #35698=CARTESIAN_POINT('Origin',(17.,0.,-0.101)); #35699=CARTESIAN_POINT('',(16.65,-1.1164167756704E-13,-0.101)); #35700=CARTESIAN_POINT('Origin',(12.65,-8.37098268562949E-14,-0.001)); #35701=CARTESIAN_POINT('',(12.65,0.799999999999916,-0.1)); #35702=CARTESIAN_POINT('',(12.65,-8.38218383591993E-14,-0.1)); #35703=CARTESIAN_POINT('',(12.65,-8.37098268562949E-14,-0.1)); #35704=CARTESIAN_POINT('',(12.65,0.799999999999916,-0.101)); #35705=CARTESIAN_POINT('',(12.65,0.799999999999916,-0.001)); #35706=CARTESIAN_POINT('',(12.65,-8.37098268562949E-14,-0.101)); #35707=CARTESIAN_POINT('',(12.65,-8.37098268562949E-14,-0.101)); #35708=CARTESIAN_POINT('',(12.65,-8.37098268562949E-14,-0.001)); #35709=CARTESIAN_POINT('Origin',(13.35,0.799999999999916,-0.001)); #35710=CARTESIAN_POINT('',(13.35,0.,-0.1)); #35711=CARTESIAN_POINT('',(13.35,0.799999999999916,-0.1)); #35712=CARTESIAN_POINT('',(13.35,-8.37955521322352E-14,-0.1)); #35713=CARTESIAN_POINT('',(13.35,-8.37955521322352E-14,-0.101)); #35714=CARTESIAN_POINT('',(13.35,-8.37955521322352E-14,-0.001)); #35715=CARTESIAN_POINT('',(13.35,0.799999999999916,-0.101)); #35716=CARTESIAN_POINT('',(13.35,-8.37955521322352E-14,-0.101)); #35717=CARTESIAN_POINT('',(13.35,0.799999999999916,-0.001)); #35718=CARTESIAN_POINT('Origin',(12.65,0.799999999999916,-0.001)); #35719=CARTESIAN_POINT('',(12.65,0.799999999999916,-0.1)); #35720=CARTESIAN_POINT('',(12.65,0.799999999999916,-0.101)); #35721=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35722=CARTESIAN_POINT('',(13.25,-8.37833056642433E-14,-0.101)); #35723=CARTESIAN_POINT('',(12.65,-8.37098268562949E-14,-0.101)); #35724=CARTESIAN_POINT('',(12.75,-8.37220733242864E-14,-0.101)); #35725=CARTESIAN_POINT('Origin',(13.,0.,-0.101)); #35726=CARTESIAN_POINT('',(12.65,-8.37098268562949E-14,-0.101)); #35727=CARTESIAN_POINT('Origin',(7.65,-4.87950134678636E-14,-0.001)); #35728=CARTESIAN_POINT('',(7.65,0.799999999999951,-0.1)); #35729=CARTESIAN_POINT('',(7.65,-4.88498130835069E-14,-0.1)); #35730=CARTESIAN_POINT('',(7.65,-4.87950134678636E-14,-0.1)); #35731=CARTESIAN_POINT('',(7.65,0.799999999999951,-0.101)); #35732=CARTESIAN_POINT('',(7.65,0.799999999999951,-0.001)); #35733=CARTESIAN_POINT('',(7.65,-4.87950134678636E-14,-0.101)); #35734=CARTESIAN_POINT('',(7.65,-4.87950134678636E-14,-0.101)); #35735=CARTESIAN_POINT('',(7.65,-4.87950134678636E-14,-0.001)); #35736=CARTESIAN_POINT('Origin',(8.35,0.799999999999951,-0.001)); #35737=CARTESIAN_POINT('',(8.35,0.,-0.1)); #35738=CARTESIAN_POINT('',(8.35,0.799999999999951,-0.1)); #35739=CARTESIAN_POINT('',(8.35,-4.88807387438039E-14,-0.1)); #35740=CARTESIAN_POINT('',(8.35,-4.88807387438039E-14,-0.101)); #35741=CARTESIAN_POINT('',(8.35,-4.88807387438039E-14,-0.001)); #35742=CARTESIAN_POINT('',(8.35,0.799999999999951,-0.101)); #35743=CARTESIAN_POINT('',(8.35,-4.88807387438039E-14,-0.101)); #35744=CARTESIAN_POINT('',(8.35,0.799999999999951,-0.001)); #35745=CARTESIAN_POINT('Origin',(7.65,0.799999999999951,-0.001)); #35746=CARTESIAN_POINT('',(7.65,0.799999999999951,-0.1)); #35747=CARTESIAN_POINT('',(7.65,0.799999999999951,-0.101)); #35748=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35749=CARTESIAN_POINT('',(8.25,-4.88684922758121E-14,-0.101)); #35750=CARTESIAN_POINT('',(7.65,-4.87950134678636E-14,-0.101)); #35751=CARTESIAN_POINT('',(7.75,-4.8807259935855E-14,-0.101)); #35752=CARTESIAN_POINT('Origin',(8.,0.,-0.101)); #35753=CARTESIAN_POINT('',(7.65,-4.87950134678636E-14,-0.101)); #35754=CARTESIAN_POINT('Origin',(3.65,-2.08631627571185E-14,-0.001)); #35755=CARTESIAN_POINT('',(3.65,0.799999999999979,-0.1)); #35756=CARTESIAN_POINT('',(3.65,-2.1094237467878E-14,-0.1)); #35757=CARTESIAN_POINT('',(3.65,-2.08631627571185E-14,-0.1)); #35758=CARTESIAN_POINT('',(3.65,0.799999999999979,-0.101)); #35759=CARTESIAN_POINT('',(3.65,0.799999999999979,-0.001)); #35760=CARTESIAN_POINT('',(3.65,-2.08631627571185E-14,-0.101)); #35761=CARTESIAN_POINT('',(3.65,-2.08631627571185E-14,-0.101)); #35762=CARTESIAN_POINT('',(3.65,-2.08631627571185E-14,-0.001)); #35763=CARTESIAN_POINT('Origin',(4.35,0.799999999999979,-0.001)); #35764=CARTESIAN_POINT('',(4.35,0.,-0.1)); #35765=CARTESIAN_POINT('',(4.35,0.799999999999979,-0.1)); #35766=CARTESIAN_POINT('',(4.35,-2.09488880330588E-14,-0.1)); #35767=CARTESIAN_POINT('',(4.35,-2.09488880330588E-14,-0.101)); #35768=CARTESIAN_POINT('',(4.35,-2.09488880330588E-14,-0.001)); #35769=CARTESIAN_POINT('',(4.35,0.799999999999979,-0.101)); #35770=CARTESIAN_POINT('',(4.35,-2.09488880330588E-14,-0.101)); #35771=CARTESIAN_POINT('',(4.35,0.799999999999979,-0.001)); #35772=CARTESIAN_POINT('Origin',(3.65,0.799999999999979,-0.001)); #35773=CARTESIAN_POINT('',(3.65,0.799999999999979,-0.1)); #35774=CARTESIAN_POINT('',(3.65,0.799999999999979,-0.101)); #35775=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35776=CARTESIAN_POINT('',(4.25,-2.09366415650674E-14,-0.101)); #35777=CARTESIAN_POINT('',(3.65,-2.08631627571185E-14,-0.101)); #35778=CARTESIAN_POINT('',(3.75,-2.087540922511E-14,-0.101)); #35779=CARTESIAN_POINT('Origin',(4.,0.,-0.101)); #35780=CARTESIAN_POINT('',(3.65,-2.08631627571185E-14,-0.101)); #35781=CARTESIAN_POINT('Origin',(1.65,-6.89723740174595E-15,-0.001)); #35782=CARTESIAN_POINT('',(1.65,0.799999999999993,-0.1)); #35783=CARTESIAN_POINT('',(1.65,-6.66133814775094E-15,-0.1)); #35784=CARTESIAN_POINT('',(1.65,-6.89723740174595E-15,-0.1)); #35785=CARTESIAN_POINT('',(1.65,0.799999999999993,-0.101)); #35786=CARTESIAN_POINT('',(1.65,0.799999999999993,-0.001)); #35787=CARTESIAN_POINT('',(1.65,-6.89723740174595E-15,-0.101)); #35788=CARTESIAN_POINT('',(1.65,-6.89723740174595E-15,-0.101)); #35789=CARTESIAN_POINT('',(1.65,-6.89723740174595E-15,-0.001)); #35790=CARTESIAN_POINT('Origin',(2.35,0.799999999999993,-0.001)); #35791=CARTESIAN_POINT('',(2.35,0.,-0.1)); #35792=CARTESIAN_POINT('',(2.35,0.799999999999993,-0.1)); #35793=CARTESIAN_POINT('',(2.35,-6.98296267768627E-15,-0.1)); #35794=CARTESIAN_POINT('',(2.35,-6.98296267768627E-15,-0.101)); #35795=CARTESIAN_POINT('',(2.35,-6.98296267768627E-15,-0.001)); #35796=CARTESIAN_POINT('',(2.35,0.799999999999993,-0.101)); #35797=CARTESIAN_POINT('',(2.35,-6.98296267768627E-15,-0.101)); #35798=CARTESIAN_POINT('',(2.35,0.799999999999993,-0.001)); #35799=CARTESIAN_POINT('Origin',(1.65,0.799999999999993,-0.001)); #35800=CARTESIAN_POINT('',(1.65,0.799999999999993,-0.1)); #35801=CARTESIAN_POINT('',(1.65,0.799999999999993,-0.101)); #35802=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35803=CARTESIAN_POINT('',(2.25,-6.9707162096948E-15,-0.101)); #35804=CARTESIAN_POINT('',(1.65,-6.89723740174595E-15,-0.101)); #35805=CARTESIAN_POINT('',(1.75,-6.90948386973742E-15,-0.101)); #35806=CARTESIAN_POINT('Origin',(2.,0.,-0.101)); #35807=CARTESIAN_POINT('',(1.65,-6.89723740174595E-15,-0.101)); #35808=CARTESIAN_POINT('Origin',(5.65,-3.4829088112491E-14,-0.001)); #35809=CARTESIAN_POINT('',(5.65,0.799999999999965,-0.1)); #35810=CARTESIAN_POINT('',(5.65,-3.49720252756924E-14,-0.1)); #35811=CARTESIAN_POINT('',(5.65,-3.4829088112491E-14,-0.1)); #35812=CARTESIAN_POINT('',(5.65,0.799999999999965,-0.101)); #35813=CARTESIAN_POINT('',(5.65,0.799999999999965,-0.001)); #35814=CARTESIAN_POINT('',(5.65,-3.4829088112491E-14,-0.101)); #35815=CARTESIAN_POINT('',(5.65,-3.4829088112491E-14,-0.101)); #35816=CARTESIAN_POINT('',(5.65,-3.4829088112491E-14,-0.001)); #35817=CARTESIAN_POINT('Origin',(6.35,0.799999999999965,-0.001)); #35818=CARTESIAN_POINT('',(6.35,0.,-0.1)); #35819=CARTESIAN_POINT('',(6.35,0.799999999999965,-0.1)); #35820=CARTESIAN_POINT('',(6.35,-3.49148133884313E-14,-0.1)); #35821=CARTESIAN_POINT('',(6.35,-3.49148133884313E-14,-0.101)); #35822=CARTESIAN_POINT('',(6.35,-3.49148133884313E-14,-0.001)); #35823=CARTESIAN_POINT('',(6.35,0.799999999999965,-0.101)); #35824=CARTESIAN_POINT('',(6.35,-3.49148133884313E-14,-0.101)); #35825=CARTESIAN_POINT('',(6.35,0.799999999999965,-0.001)); #35826=CARTESIAN_POINT('Origin',(5.65,0.799999999999965,-0.001)); #35827=CARTESIAN_POINT('',(5.65,0.799999999999965,-0.1)); #35828=CARTESIAN_POINT('',(5.65,0.799999999999965,-0.101)); #35829=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35830=CARTESIAN_POINT('',(6.25,-3.49025669204399E-14,-0.101)); #35831=CARTESIAN_POINT('',(5.65,-3.4829088112491E-14,-0.101)); #35832=CARTESIAN_POINT('',(5.75,-3.48413345804825E-14,-0.101)); #35833=CARTESIAN_POINT('Origin',(6.,0.,-0.101)); #35834=CARTESIAN_POINT('',(5.65,-3.4829088112491E-14,-0.101)); #35835=CARTESIAN_POINT('Origin',(9.65,-6.27609388232361E-14,-0.001)); #35836=CARTESIAN_POINT('',(9.65,0.799999999999937,-0.1)); #35837=CARTESIAN_POINT('',(9.65,-6.27276008913213E-14,-0.1)); #35838=CARTESIAN_POINT('',(9.65,-6.27609388232361E-14,-0.1)); #35839=CARTESIAN_POINT('',(9.65,0.799999999999937,-0.101)); #35840=CARTESIAN_POINT('',(9.65,0.799999999999937,-0.001)); #35841=CARTESIAN_POINT('',(9.65,-6.27609388232361E-14,-0.101)); #35842=CARTESIAN_POINT('',(9.65,-6.27609388232361E-14,-0.101)); #35843=CARTESIAN_POINT('',(9.65,-6.27609388232361E-14,-0.001)); #35844=CARTESIAN_POINT('Origin',(10.35,0.799999999999937,-0.001)); #35845=CARTESIAN_POINT('',(10.35,0.,-0.1)); #35846=CARTESIAN_POINT('',(10.35,0.799999999999937,-0.1)); #35847=CARTESIAN_POINT('',(10.35,-6.28466640991764E-14,-0.1)); #35848=CARTESIAN_POINT('',(10.35,-6.28466640991764E-14,-0.101)); #35849=CARTESIAN_POINT('',(10.35,-6.28466640991764E-14,-0.001)); #35850=CARTESIAN_POINT('',(10.35,0.799999999999937,-0.101)); #35851=CARTESIAN_POINT('',(10.35,-6.28466640991764E-14,-0.101)); #35852=CARTESIAN_POINT('',(10.35,0.799999999999937,-0.001)); #35853=CARTESIAN_POINT('Origin',(9.65,0.799999999999937,-0.001)); #35854=CARTESIAN_POINT('',(9.65,0.799999999999937,-0.1)); #35855=CARTESIAN_POINT('',(9.65,0.799999999999937,-0.101)); #35856=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35857=CARTESIAN_POINT('',(10.25,-6.28344176311846E-14,-0.101)); #35858=CARTESIAN_POINT('',(9.65,-6.27609388232361E-14,-0.101)); #35859=CARTESIAN_POINT('',(9.75,-6.27731852912275E-14,-0.101)); #35860=CARTESIAN_POINT('Origin',(10.,0.,-0.101)); #35861=CARTESIAN_POINT('',(9.65,-6.27609388232361E-14,-0.101)); #35862=CARTESIAN_POINT('Origin',(14.65,-9.76757522116674E-14,-0.001)); #35863=CARTESIAN_POINT('',(14.65,0.799999999999902,-0.1)); #35864=CARTESIAN_POINT('',(14.65,-9.76996261670138E-14,-0.1)); #35865=CARTESIAN_POINT('',(14.65,-9.76757522116674E-14,-0.1)); #35866=CARTESIAN_POINT('',(14.65,0.799999999999902,-0.101)); #35867=CARTESIAN_POINT('',(14.65,0.799999999999902,-0.001)); #35868=CARTESIAN_POINT('',(14.65,-9.76757522116674E-14,-0.101)); #35869=CARTESIAN_POINT('',(14.65,-9.76757522116674E-14,-0.101)); #35870=CARTESIAN_POINT('',(14.65,-9.76757522116674E-14,-0.001)); #35871=CARTESIAN_POINT('Origin',(15.35,0.799999999999902,-0.001)); #35872=CARTESIAN_POINT('',(15.35,0.,-0.1)); #35873=CARTESIAN_POINT('',(15.35,0.799999999999902,-0.1)); #35874=CARTESIAN_POINT('',(15.35,-9.77614774876077E-14,-0.1)); #35875=CARTESIAN_POINT('',(15.35,-9.77614774876077E-14,-0.101)); #35876=CARTESIAN_POINT('',(15.35,-9.77614774876077E-14,-0.001)); #35877=CARTESIAN_POINT('',(15.35,0.799999999999902,-0.101)); #35878=CARTESIAN_POINT('',(15.35,-9.77614774876077E-14,-0.101)); #35879=CARTESIAN_POINT('',(15.35,0.799999999999902,-0.001)); #35880=CARTESIAN_POINT('Origin',(14.65,0.799999999999902,-0.001)); #35881=CARTESIAN_POINT('',(14.65,0.799999999999902,-0.1)); #35882=CARTESIAN_POINT('',(14.65,0.799999999999902,-0.101)); #35883=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35884=CARTESIAN_POINT('',(15.25,-9.77492310196157E-14,-0.101)); #35885=CARTESIAN_POINT('',(14.65,-9.76757522116674E-14,-0.101)); #35886=CARTESIAN_POINT('',(14.75,-9.76879986796589E-14,-0.101)); #35887=CARTESIAN_POINT('Origin',(15.,0.,-0.101)); #35888=CARTESIAN_POINT('',(14.65,-9.76757522116674E-14,-0.101)); #35889=CARTESIAN_POINT('Origin',(18.5,3.2,-0.001)); #35890=CARTESIAN_POINT('',(17.7,3.2,-0.1)); #35891=CARTESIAN_POINT('',(18.5,3.2,-0.1)); #35892=CARTESIAN_POINT('',(18.5,3.2,-0.1)); #35893=CARTESIAN_POINT('',(17.7,3.2,-0.101)); #35894=CARTESIAN_POINT('',(17.7,3.2,-0.001)); #35895=CARTESIAN_POINT('',(18.5,3.2,-0.101)); #35896=CARTESIAN_POINT('',(18.5,3.2,-0.101)); #35897=CARTESIAN_POINT('',(18.5,3.2,-0.001)); #35898=CARTESIAN_POINT('Origin',(17.7,3.9,-0.001)); #35899=CARTESIAN_POINT('',(18.5,3.9,-0.1)); #35900=CARTESIAN_POINT('',(17.7,3.9,-0.1)); #35901=CARTESIAN_POINT('',(18.5,3.9,-0.1)); #35902=CARTESIAN_POINT('',(18.5,3.9,-0.101)); #35903=CARTESIAN_POINT('',(18.5,3.9,-0.001)); #35904=CARTESIAN_POINT('',(17.7,3.9,-0.101)); #35905=CARTESIAN_POINT('',(18.5,3.9,-0.101)); #35906=CARTESIAN_POINT('',(17.7,3.9,-0.001)); #35907=CARTESIAN_POINT('Origin',(17.7,3.2,-0.001)); #35908=CARTESIAN_POINT('',(17.7,3.2,-0.1)); #35909=CARTESIAN_POINT('',(17.7,3.2,-0.101)); #35910=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35911=CARTESIAN_POINT('',(18.5,3.8,-0.101)); #35912=CARTESIAN_POINT('',(18.5,3.2,-0.101)); #35913=CARTESIAN_POINT('',(18.5,3.3,-0.100999999999999)); #35914=CARTESIAN_POINT('Origin',(18.5,3.55,-0.100999999999999)); #35915=CARTESIAN_POINT('',(18.5,3.2,-0.101)); #35916=CARTESIAN_POINT('Origin',(18.5,11.7,-0.001)); #35917=CARTESIAN_POINT('',(17.7,11.7,-0.1)); #35918=CARTESIAN_POINT('',(18.5,11.7,-0.1)); #35919=CARTESIAN_POINT('',(18.5,11.7,-0.1)); #35920=CARTESIAN_POINT('',(17.7,11.7,-0.101)); #35921=CARTESIAN_POINT('',(17.7,11.7,-0.001)); #35922=CARTESIAN_POINT('',(18.5,11.7,-0.101)); #35923=CARTESIAN_POINT('',(18.5,11.7,-0.101)); #35924=CARTESIAN_POINT('',(18.5,11.7,-0.001)); #35925=CARTESIAN_POINT('Origin',(17.7,12.4,-0.001)); #35926=CARTESIAN_POINT('',(18.5,12.4,-0.1)); #35927=CARTESIAN_POINT('',(17.7,12.4,-0.1)); #35928=CARTESIAN_POINT('',(18.5,12.4,-0.1)); #35929=CARTESIAN_POINT('',(18.5,12.4,-0.101)); #35930=CARTESIAN_POINT('',(18.5,12.4,-0.001)); #35931=CARTESIAN_POINT('',(17.7,12.4,-0.101)); #35932=CARTESIAN_POINT('',(18.5,12.4,-0.101)); #35933=CARTESIAN_POINT('',(17.7,12.4,-0.001)); #35934=CARTESIAN_POINT('Origin',(17.7,11.7,-0.001)); #35935=CARTESIAN_POINT('',(17.7,11.7,-0.1)); #35936=CARTESIAN_POINT('',(17.7,11.7,-0.101)); #35937=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35938=CARTESIAN_POINT('',(18.5,12.3,-0.101)); #35939=CARTESIAN_POINT('',(18.5,11.7,-0.101)); #35940=CARTESIAN_POINT('',(18.5,11.8,-0.100999999999999)); #35941=CARTESIAN_POINT('Origin',(18.5,12.05,-0.100999999999999)); #35942=CARTESIAN_POINT('',(18.5,11.7,-0.101)); #35943=CARTESIAN_POINT('Origin',(17.35,18.9999999999999,-0.001)); #35944=CARTESIAN_POINT('',(17.35,18.1999999999999,-0.1)); #35945=CARTESIAN_POINT('',(17.35,18.9999999999999,-0.1)); #35946=CARTESIAN_POINT('',(17.35,18.9999999999999,-0.1)); #35947=CARTESIAN_POINT('',(17.35,18.1999999999999,-0.101)); #35948=CARTESIAN_POINT('',(17.35,18.1999999999999,-0.001)); #35949=CARTESIAN_POINT('',(17.35,18.9999999999999,-0.101)); #35950=CARTESIAN_POINT('',(17.35,18.9999999999999,-0.101)); #35951=CARTESIAN_POINT('',(17.35,18.9999999999999,-0.001)); #35952=CARTESIAN_POINT('Origin',(16.65,18.1999999999999,-0.001)); #35953=CARTESIAN_POINT('',(16.65,19.,-0.1)); #35954=CARTESIAN_POINT('',(16.65,18.1999999999999,-0.1)); #35955=CARTESIAN_POINT('',(16.65,18.9999999999999,-0.1)); #35956=CARTESIAN_POINT('',(16.65,18.9999999999999,-0.101)); #35957=CARTESIAN_POINT('',(16.65,18.9999999999999,-0.001)); #35958=CARTESIAN_POINT('',(16.65,18.1999999999999,-0.101)); #35959=CARTESIAN_POINT('',(16.65,18.9999999999999,-0.101)); #35960=CARTESIAN_POINT('',(16.65,18.1999999999999,-0.001)); #35961=CARTESIAN_POINT('Origin',(17.35,18.1999999999999,-0.001)); #35962=CARTESIAN_POINT('',(16.65,18.1999999999999,-0.1)); #35963=CARTESIAN_POINT('',(16.65,18.1999999999999,-0.101)); #35964=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35965=CARTESIAN_POINT('',(16.75,18.9999999999999,-0.101)); #35966=CARTESIAN_POINT('',(17.25,18.9999999999999,-0.101)); #35967=CARTESIAN_POINT('Origin',(17.,19.,-0.101)); #35968=CARTESIAN_POINT('',(16.65,18.9999999999999,-0.101)); #35969=CARTESIAN_POINT('',(16.65,18.9999999999999,-0.101)); #35970=CARTESIAN_POINT('Origin',(13.35,18.9999999999999,-0.001)); #35971=CARTESIAN_POINT('',(13.35,18.1999999999999,-0.1)); #35972=CARTESIAN_POINT('',(13.35,18.9999999999999,-0.1)); #35973=CARTESIAN_POINT('',(13.35,18.9999999999999,-0.1)); #35974=CARTESIAN_POINT('',(13.35,18.1999999999999,-0.101)); #35975=CARTESIAN_POINT('',(13.35,18.1999999999999,-0.001)); #35976=CARTESIAN_POINT('',(13.35,18.9999999999999,-0.101)); #35977=CARTESIAN_POINT('',(13.35,18.9999999999999,-0.101)); #35978=CARTESIAN_POINT('',(13.35,18.9999999999999,-0.001)); #35979=CARTESIAN_POINT('Origin',(12.65,18.1999999999999,-0.001)); #35980=CARTESIAN_POINT('',(12.65,19.,-0.1)); #35981=CARTESIAN_POINT('',(12.65,18.1999999999999,-0.1)); #35982=CARTESIAN_POINT('',(12.65,18.9999999999999,-0.1)); #35983=CARTESIAN_POINT('',(12.65,18.9999999999999,-0.101)); #35984=CARTESIAN_POINT('',(12.65,18.9999999999999,-0.001)); #35985=CARTESIAN_POINT('',(12.65,18.1999999999999,-0.101)); #35986=CARTESIAN_POINT('',(12.65,18.9999999999999,-0.101)); #35987=CARTESIAN_POINT('',(12.65,18.1999999999999,-0.001)); #35988=CARTESIAN_POINT('Origin',(13.35,18.1999999999999,-0.001)); #35989=CARTESIAN_POINT('',(12.65,18.1999999999999,-0.1)); #35990=CARTESIAN_POINT('',(12.65,18.1999999999999,-0.101)); #35991=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #35992=CARTESIAN_POINT('',(12.75,18.9999999999999,-0.101)); #35993=CARTESIAN_POINT('',(13.25,18.9999999999999,-0.101)); #35994=CARTESIAN_POINT('Origin',(13.,19.,-0.101)); #35995=CARTESIAN_POINT('',(12.65,18.9999999999999,-0.101)); #35996=CARTESIAN_POINT('',(12.65,18.9999999999999,-0.101)); #35997=CARTESIAN_POINT('Origin',(8.35,19.,-0.001)); #35998=CARTESIAN_POINT('',(8.35,18.2,-0.1)); #35999=CARTESIAN_POINT('',(8.35,19.,-0.1)); #36000=CARTESIAN_POINT('',(8.35,19.,-0.1)); #36001=CARTESIAN_POINT('',(8.35,18.2,-0.101)); #36002=CARTESIAN_POINT('',(8.35,18.2,-0.001)); #36003=CARTESIAN_POINT('',(8.35,19.,-0.101)); #36004=CARTESIAN_POINT('',(8.35,19.,-0.101)); #36005=CARTESIAN_POINT('',(8.35,19.,-0.001)); #36006=CARTESIAN_POINT('Origin',(7.65,18.2,-0.001)); #36007=CARTESIAN_POINT('',(7.65,19.,-0.1)); #36008=CARTESIAN_POINT('',(7.65,18.2,-0.1)); #36009=CARTESIAN_POINT('',(7.65,19.,-0.1)); #36010=CARTESIAN_POINT('',(7.65,19.,-0.101)); #36011=CARTESIAN_POINT('',(7.65,19.,-0.001)); #36012=CARTESIAN_POINT('',(7.65,18.2,-0.101)); #36013=CARTESIAN_POINT('',(7.65,19.,-0.101)); #36014=CARTESIAN_POINT('',(7.65,18.2,-0.001)); #36015=CARTESIAN_POINT('Origin',(8.35,18.2,-0.001)); #36016=CARTESIAN_POINT('',(7.65,18.2,-0.1)); #36017=CARTESIAN_POINT('',(7.65,18.2,-0.101)); #36018=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #36019=CARTESIAN_POINT('',(7.75,19.,-0.101)); #36020=CARTESIAN_POINT('',(8.25,19.,-0.101)); #36021=CARTESIAN_POINT('Origin',(8.,19.,-0.101)); #36022=CARTESIAN_POINT('',(7.65,19.,-0.101)); #36023=CARTESIAN_POINT('',(7.65,19.,-0.101)); #36024=CARTESIAN_POINT('Origin',(4.35,19.,-0.001)); #36025=CARTESIAN_POINT('',(4.35,18.2,-0.1)); #36026=CARTESIAN_POINT('',(4.35,19.,-0.1)); #36027=CARTESIAN_POINT('',(4.35,19.,-0.1)); #36028=CARTESIAN_POINT('',(4.35,18.2,-0.101)); #36029=CARTESIAN_POINT('',(4.35,18.2,-0.001)); #36030=CARTESIAN_POINT('',(4.35,19.,-0.101)); #36031=CARTESIAN_POINT('',(4.35,19.,-0.101)); #36032=CARTESIAN_POINT('',(4.35,19.,-0.001)); #36033=CARTESIAN_POINT('Origin',(3.65,18.2,-0.001)); #36034=CARTESIAN_POINT('',(3.65,19.,-0.1)); #36035=CARTESIAN_POINT('',(3.65,18.2,-0.1)); #36036=CARTESIAN_POINT('',(3.65,19.,-0.1)); #36037=CARTESIAN_POINT('',(3.65,19.,-0.101)); #36038=CARTESIAN_POINT('',(3.65,19.,-0.001)); #36039=CARTESIAN_POINT('',(3.65,18.2,-0.101)); #36040=CARTESIAN_POINT('',(3.65,19.,-0.101)); #36041=CARTESIAN_POINT('',(3.65,18.2,-0.001)); #36042=CARTESIAN_POINT('Origin',(4.35,18.2,-0.001)); #36043=CARTESIAN_POINT('',(3.65,18.2,-0.1)); #36044=CARTESIAN_POINT('',(3.65,18.2,-0.101)); #36045=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #36046=CARTESIAN_POINT('',(3.75,19.,-0.101)); #36047=CARTESIAN_POINT('',(4.25,19.,-0.101)); #36048=CARTESIAN_POINT('Origin',(4.,19.,-0.101)); #36049=CARTESIAN_POINT('',(3.65,19.,-0.101)); #36050=CARTESIAN_POINT('',(3.65,19.,-0.101)); #36051=CARTESIAN_POINT('Origin',(1.35,19.,-0.001)); #36052=CARTESIAN_POINT('',(1.35,18.2,-0.1)); #36053=CARTESIAN_POINT('',(1.35,19.,-0.1)); #36054=CARTESIAN_POINT('',(1.35,19.,-0.1)); #36055=CARTESIAN_POINT('',(1.35,18.2,-0.101)); #36056=CARTESIAN_POINT('',(1.35,18.2,-0.001)); #36057=CARTESIAN_POINT('',(1.35,19.,-0.101)); #36058=CARTESIAN_POINT('',(1.35,19.,-0.101)); #36059=CARTESIAN_POINT('',(1.35,19.,-0.001)); #36060=CARTESIAN_POINT('Origin',(0.650000000000001,18.2,-0.001)); #36061=CARTESIAN_POINT('',(0.650000000000002,19.,-0.1)); #36062=CARTESIAN_POINT('',(0.650000000000002,18.2,-0.1)); #36063=CARTESIAN_POINT('',(0.650000000000001,19.,-0.1)); #36064=CARTESIAN_POINT('',(0.650000000000001,19.,-0.101)); #36065=CARTESIAN_POINT('',(0.650000000000001,19.,-0.001)); #36066=CARTESIAN_POINT('',(0.650000000000001,18.2,-0.101)); #36067=CARTESIAN_POINT('',(0.650000000000001,19.,-0.101)); #36068=CARTESIAN_POINT('',(0.650000000000001,18.2,-0.001)); #36069=CARTESIAN_POINT('Origin',(1.35,18.2,-0.001)); #36070=CARTESIAN_POINT('',(0.650000000000001,18.2,-0.1)); #36071=CARTESIAN_POINT('',(0.650000000000001,18.2,-0.101)); #36072=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #36073=CARTESIAN_POINT('',(0.75,19.,-0.101)); #36074=CARTESIAN_POINT('',(0.650000000000001,19.,-0.101)); #36075=CARTESIAN_POINT('',(1.25,19.,-0.101)); #36076=CARTESIAN_POINT('Origin',(1.,19.,-0.101)); #36077=CARTESIAN_POINT('',(0.650000000000001,19.,-0.101)); #36078=CARTESIAN_POINT('Origin',(5.35,19.,-0.001)); #36079=CARTESIAN_POINT('',(5.35,18.2,-0.1)); #36080=CARTESIAN_POINT('',(5.35,19.,-0.1)); #36081=CARTESIAN_POINT('',(5.35,19.,-0.1)); #36082=CARTESIAN_POINT('',(5.35,18.2,-0.101)); #36083=CARTESIAN_POINT('',(5.35,18.2,-0.001)); #36084=CARTESIAN_POINT('',(5.35,19.,-0.101)); #36085=CARTESIAN_POINT('',(5.35,19.,-0.101)); #36086=CARTESIAN_POINT('',(5.35,19.,-0.001)); #36087=CARTESIAN_POINT('Origin',(4.65,18.2,-0.001)); #36088=CARTESIAN_POINT('',(4.65,19.,-0.1)); #36089=CARTESIAN_POINT('',(4.65,18.2,-0.1)); #36090=CARTESIAN_POINT('',(4.65,19.,-0.1)); #36091=CARTESIAN_POINT('',(4.65,19.,-0.101)); #36092=CARTESIAN_POINT('',(4.65,19.,-0.001)); #36093=CARTESIAN_POINT('',(4.65,18.2,-0.101)); #36094=CARTESIAN_POINT('',(4.65,19.,-0.101)); #36095=CARTESIAN_POINT('',(4.65,18.2,-0.001)); #36096=CARTESIAN_POINT('Origin',(5.35,18.2,-0.001)); #36097=CARTESIAN_POINT('',(4.65,18.2,-0.1)); #36098=CARTESIAN_POINT('',(4.65,18.2,-0.101)); #36099=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #36100=CARTESIAN_POINT('',(4.75,19.,-0.101)); #36101=CARTESIAN_POINT('',(5.25,19.,-0.101)); #36102=CARTESIAN_POINT('Origin',(5.,19.,-0.101)); #36103=CARTESIAN_POINT('',(4.65,19.,-0.101)); #36104=CARTESIAN_POINT('',(4.65,19.,-0.101)); #36105=CARTESIAN_POINT('Origin',(9.35,18.9999999999999,-0.001)); #36106=CARTESIAN_POINT('',(9.35,18.1999999999999,-0.1)); #36107=CARTESIAN_POINT('',(9.35,18.9999999999999,-0.1)); #36108=CARTESIAN_POINT('',(9.35,18.9999999999999,-0.1)); #36109=CARTESIAN_POINT('',(9.35,18.1999999999999,-0.101)); #36110=CARTESIAN_POINT('',(9.35,18.1999999999999,-0.001)); #36111=CARTESIAN_POINT('',(9.35,18.9999999999999,-0.101)); #36112=CARTESIAN_POINT('',(9.35,18.9999999999999,-0.101)); #36113=CARTESIAN_POINT('',(9.35,18.9999999999999,-0.001)); #36114=CARTESIAN_POINT('Origin',(8.65,18.1999999999999,-0.001)); #36115=CARTESIAN_POINT('',(8.65,19.,-0.1)); #36116=CARTESIAN_POINT('',(8.65,18.1999999999999,-0.1)); #36117=CARTESIAN_POINT('',(8.65,18.9999999999999,-0.1)); #36118=CARTESIAN_POINT('',(8.65,18.9999999999999,-0.101)); #36119=CARTESIAN_POINT('',(8.65,18.9999999999999,-0.001)); #36120=CARTESIAN_POINT('',(8.65,18.1999999999999,-0.101)); #36121=CARTESIAN_POINT('',(8.65,18.9999999999999,-0.101)); #36122=CARTESIAN_POINT('',(8.65,18.1999999999999,-0.001)); #36123=CARTESIAN_POINT('Origin',(9.35,18.1999999999999,-0.001)); #36124=CARTESIAN_POINT('',(8.65,18.1999999999999,-0.1)); #36125=CARTESIAN_POINT('',(8.65,18.1999999999999,-0.101)); #36126=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #36127=CARTESIAN_POINT('',(8.75,18.9999999999999,-0.101)); #36128=CARTESIAN_POINT('',(9.25,18.9999999999999,-0.101)); #36129=CARTESIAN_POINT('Origin',(9.,19.,-0.101)); #36130=CARTESIAN_POINT('',(8.65,18.9999999999999,-0.101)); #36131=CARTESIAN_POINT('',(8.65,18.9999999999999,-0.101)); #36132=CARTESIAN_POINT('Origin',(14.35,18.9999999999999,-0.001)); #36133=CARTESIAN_POINT('',(14.35,18.1999999999999,-0.1)); #36134=CARTESIAN_POINT('',(14.35,18.9999999999999,-0.1)); #36135=CARTESIAN_POINT('',(14.35,18.9999999999999,-0.1)); #36136=CARTESIAN_POINT('',(14.35,18.1999999999999,-0.101)); #36137=CARTESIAN_POINT('',(14.35,18.1999999999999,-0.001)); #36138=CARTESIAN_POINT('',(14.35,18.9999999999999,-0.101)); #36139=CARTESIAN_POINT('',(14.35,18.9999999999999,-0.101)); #36140=CARTESIAN_POINT('',(14.35,18.9999999999999,-0.001)); #36141=CARTESIAN_POINT('Origin',(13.65,18.1999999999999,-0.001)); #36142=CARTESIAN_POINT('',(13.65,19.,-0.1)); #36143=CARTESIAN_POINT('',(13.65,18.1999999999999,-0.1)); #36144=CARTESIAN_POINT('',(13.65,18.9999999999999,-0.1)); #36145=CARTESIAN_POINT('',(13.65,18.9999999999999,-0.101)); #36146=CARTESIAN_POINT('',(13.65,18.9999999999999,-0.001)); #36147=CARTESIAN_POINT('',(13.65,18.1999999999999,-0.101)); #36148=CARTESIAN_POINT('',(13.65,18.9999999999999,-0.101)); #36149=CARTESIAN_POINT('',(13.65,18.1999999999999,-0.001)); #36150=CARTESIAN_POINT('Origin',(14.35,18.1999999999999,-0.001)); #36151=CARTESIAN_POINT('',(13.65,18.1999999999999,-0.1)); #36152=CARTESIAN_POINT('',(13.65,18.1999999999999,-0.101)); #36153=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #36154=CARTESIAN_POINT('',(13.75,18.9999999999999,-0.101)); #36155=CARTESIAN_POINT('',(14.25,18.9999999999999,-0.101)); #36156=CARTESIAN_POINT('Origin',(14.,19.,-0.101)); #36157=CARTESIAN_POINT('',(13.65,18.9999999999999,-0.101)); #36158=CARTESIAN_POINT('',(13.65,18.9999999999999,-0.101)); #36159=CARTESIAN_POINT('Origin',(18.5,16.1,-0.001)); #36160=CARTESIAN_POINT('',(17.7,16.1,-0.1)); #36161=CARTESIAN_POINT('',(18.5,16.1,-0.1)); #36162=CARTESIAN_POINT('',(18.5,16.1,-0.1)); #36163=CARTESIAN_POINT('',(17.7,16.1,-0.101)); #36164=CARTESIAN_POINT('',(17.7,16.1,-0.001)); #36165=CARTESIAN_POINT('',(18.5,16.1,-0.101)); #36166=CARTESIAN_POINT('',(18.5,16.1,-0.101)); #36167=CARTESIAN_POINT('',(18.5,16.1,-0.001)); #36168=CARTESIAN_POINT('Origin',(17.7,16.8,-0.001)); #36169=CARTESIAN_POINT('',(18.5,16.8,-0.1)); #36170=CARTESIAN_POINT('',(17.7,16.8,-0.1)); #36171=CARTESIAN_POINT('',(18.5,16.8,-0.1)); #36172=CARTESIAN_POINT('',(18.5,16.8,-0.101)); #36173=CARTESIAN_POINT('',(18.5,16.8,-0.001)); #36174=CARTESIAN_POINT('',(17.7,16.8,-0.101)); #36175=CARTESIAN_POINT('',(18.5,16.8,-0.101)); #36176=CARTESIAN_POINT('',(17.7,16.8,-0.001)); #36177=CARTESIAN_POINT('Origin',(17.7,16.1,-0.001)); #36178=CARTESIAN_POINT('',(17.7,16.1,-0.1)); #36179=CARTESIAN_POINT('',(17.7,16.1,-0.101)); #36180=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #36181=CARTESIAN_POINT('',(18.5,16.7,-0.101)); #36182=CARTESIAN_POINT('',(18.5,16.1,-0.101)); #36183=CARTESIAN_POINT('',(18.5,16.2,-0.100999999999999)); #36184=CARTESIAN_POINT('Origin',(18.5,16.45,-0.100999999999999)); #36185=CARTESIAN_POINT('',(18.5,16.1,-0.101)); #36186=CARTESIAN_POINT('Origin',(18.5,10.7,-0.001)); #36187=CARTESIAN_POINT('',(17.7,10.7,-0.1)); #36188=CARTESIAN_POINT('',(18.5,10.7,-0.1)); #36189=CARTESIAN_POINT('',(18.5,10.7,-0.1)); #36190=CARTESIAN_POINT('',(17.7,10.7,-0.101)); #36191=CARTESIAN_POINT('',(17.7,10.7,-0.001)); #36192=CARTESIAN_POINT('',(18.5,10.7,-0.101)); #36193=CARTESIAN_POINT('',(18.5,10.7,-0.101)); #36194=CARTESIAN_POINT('',(18.5,10.7,-0.001)); #36195=CARTESIAN_POINT('Origin',(17.7,11.4,-0.001)); #36196=CARTESIAN_POINT('',(18.5,11.4,-0.1)); #36197=CARTESIAN_POINT('',(17.7,11.4,-0.1)); #36198=CARTESIAN_POINT('',(18.5,11.4,-0.1)); #36199=CARTESIAN_POINT('',(18.5,11.4,-0.101)); #36200=CARTESIAN_POINT('',(18.5,11.4,-0.001)); #36201=CARTESIAN_POINT('',(17.7,11.4,-0.101)); #36202=CARTESIAN_POINT('',(18.5,11.4,-0.101)); #36203=CARTESIAN_POINT('',(17.7,11.4,-0.001)); #36204=CARTESIAN_POINT('Origin',(17.7,10.7,-0.001)); #36205=CARTESIAN_POINT('',(17.7,11.4,-0.1)); #36206=CARTESIAN_POINT('',(17.7,11.4,-0.101)); #36207=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #36208=CARTESIAN_POINT('',(18.5,11.3,-0.101)); #36209=CARTESIAN_POINT('',(18.5,10.8,-0.100999999999999)); #36210=CARTESIAN_POINT('Origin',(18.5,11.05,-0.100999999999999)); #36211=CARTESIAN_POINT('',(18.5,11.4,-0.101)); #36212=CARTESIAN_POINT('',(18.5,11.4,-0.101)); #36213=CARTESIAN_POINT('Origin',(18.5,2.2,-0.001)); #36214=CARTESIAN_POINT('',(17.7,2.2,-0.1)); #36215=CARTESIAN_POINT('',(18.5,2.2,-0.1)); #36216=CARTESIAN_POINT('',(18.5,2.2,-0.1)); #36217=CARTESIAN_POINT('',(17.7,2.2,-0.101)); #36218=CARTESIAN_POINT('',(17.7,2.2,-0.001)); #36219=CARTESIAN_POINT('',(18.5,2.2,-0.101)); #36220=CARTESIAN_POINT('',(18.5,2.2,-0.101)); #36221=CARTESIAN_POINT('',(18.5,2.2,-0.001)); #36222=CARTESIAN_POINT('Origin',(17.7,2.9,-0.001)); #36223=CARTESIAN_POINT('',(18.5,2.9,-0.1)); #36224=CARTESIAN_POINT('',(17.7,2.9,-0.1)); #36225=CARTESIAN_POINT('',(18.5,2.9,-0.1)); #36226=CARTESIAN_POINT('',(18.5,2.9,-0.101)); #36227=CARTESIAN_POINT('',(18.5,2.9,-0.001)); #36228=CARTESIAN_POINT('',(17.7,2.9,-0.101)); #36229=CARTESIAN_POINT('',(18.5,2.9,-0.101)); #36230=CARTESIAN_POINT('',(17.7,2.9,-0.001)); #36231=CARTESIAN_POINT('Origin',(17.7,2.2,-0.001)); #36232=CARTESIAN_POINT('',(17.7,2.2,-0.1)); #36233=CARTESIAN_POINT('',(17.7,2.2,-0.101)); #36234=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #36235=CARTESIAN_POINT('',(18.5,2.8,-0.101)); #36236=CARTESIAN_POINT('',(18.5,2.2,-0.101)); #36237=CARTESIAN_POINT('',(18.5,2.3,-0.100999999999999)); #36238=CARTESIAN_POINT('Origin',(18.5,2.55,-0.100999999999999)); #36239=CARTESIAN_POINT('',(18.5,2.2,-0.101)); #36240=CARTESIAN_POINT('Origin',(13.65,-9.06927895339811E-14,-0.001)); #36241=CARTESIAN_POINT('',(13.65,0.79999999999991,-0.1)); #36242=CARTESIAN_POINT('',(13.65,-9.04831765069503E-14,-0.1)); #36243=CARTESIAN_POINT('',(13.65,-9.06927895339811E-14,-0.1)); #36244=CARTESIAN_POINT('',(13.65,0.79999999999991,-0.101)); #36245=CARTESIAN_POINT('',(13.65,0.79999999999991,-0.001)); #36246=CARTESIAN_POINT('',(13.65,-9.06927895339811E-14,-0.101)); #36247=CARTESIAN_POINT('',(13.65,-9.06927895339811E-14,-0.101)); #36248=CARTESIAN_POINT('',(13.65,-9.06927895339811E-14,-0.001)); #36249=CARTESIAN_POINT('Origin',(14.35,0.799999999999909,-0.001)); #36250=CARTESIAN_POINT('',(14.35,0.,-0.1)); #36251=CARTESIAN_POINT('',(14.35,0.799999999999909,-0.1)); #36252=CARTESIAN_POINT('',(14.35,-9.07785148099215E-14,-0.1)); #36253=CARTESIAN_POINT('',(14.35,-9.07785148099215E-14,-0.101)); #36254=CARTESIAN_POINT('',(14.35,-9.07785148099215E-14,-0.001)); #36255=CARTESIAN_POINT('',(14.35,0.799999999999909,-0.101)); #36256=CARTESIAN_POINT('',(14.35,-9.07785148099215E-14,-0.101)); #36257=CARTESIAN_POINT('',(14.35,0.799999999999909,-0.001)); #36258=CARTESIAN_POINT('Origin',(13.65,0.79999999999991,-0.001)); #36259=CARTESIAN_POINT('',(13.65,0.79999999999991,-0.1)); #36260=CARTESIAN_POINT('',(13.65,0.79999999999991,-0.101)); #36261=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #36262=CARTESIAN_POINT('',(14.25,-9.07662683419295E-14,-0.101)); #36263=CARTESIAN_POINT('',(13.65,-9.06927895339811E-14,-0.101)); #36264=CARTESIAN_POINT('',(13.75,-9.07050360019726E-14,-0.101)); #36265=CARTESIAN_POINT('Origin',(14.,0.,-0.101)); #36266=CARTESIAN_POINT('',(13.65,-9.06927895339811E-14,-0.101)); #36267=CARTESIAN_POINT('Origin',(8.65,-5.57779761455498E-14,-0.001)); #36268=CARTESIAN_POINT('',(8.65,0.799999999999945,-0.1)); #36269=CARTESIAN_POINT('',(8.65,-5.55111512312578E-14,-0.1)); #36270=CARTESIAN_POINT('',(8.65,-5.57779761455498E-14,-0.1)); #36271=CARTESIAN_POINT('',(8.65,0.799999999999944,-0.101)); #36272=CARTESIAN_POINT('',(8.65,0.799999999999944,-0.001)); #36273=CARTESIAN_POINT('',(8.65,-5.57779761455498E-14,-0.101)); #36274=CARTESIAN_POINT('',(8.65,-5.57779761455498E-14,-0.101)); #36275=CARTESIAN_POINT('',(8.65,-5.57779761455498E-14,-0.001)); #36276=CARTESIAN_POINT('Origin',(9.35,0.799999999999944,-0.001)); #36277=CARTESIAN_POINT('',(9.35,0.,-0.1)); #36278=CARTESIAN_POINT('',(9.35,0.799999999999944,-0.1)); #36279=CARTESIAN_POINT('',(9.35,-5.58637014214901E-14,-0.1)); #36280=CARTESIAN_POINT('',(9.35,-5.58637014214901E-14,-0.101)); #36281=CARTESIAN_POINT('',(9.35,-5.58637014214901E-14,-0.001)); #36282=CARTESIAN_POINT('',(9.35,0.799999999999944,-0.101)); #36283=CARTESIAN_POINT('',(9.35,-5.58637014214901E-14,-0.101)); #36284=CARTESIAN_POINT('',(9.35,0.799999999999944,-0.001)); #36285=CARTESIAN_POINT('Origin',(8.65,0.799999999999944,-0.001)); #36286=CARTESIAN_POINT('',(8.65,0.799999999999944,-0.1)); #36287=CARTESIAN_POINT('',(8.65,0.799999999999944,-0.101)); #36288=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #36289=CARTESIAN_POINT('',(9.25,-5.58514549534983E-14,-0.101)); #36290=CARTESIAN_POINT('',(8.65,-5.57779761455498E-14,-0.101)); #36291=CARTESIAN_POINT('',(8.75,-5.57902226135413E-14,-0.101)); #36292=CARTESIAN_POINT('Origin',(9.,0.,-0.101)); #36293=CARTESIAN_POINT('',(8.65,-5.57779761455498E-14,-0.101)); #36294=CARTESIAN_POINT('Origin',(4.65,-2.78461254348048E-14,-0.001)); #36295=CARTESIAN_POINT('',(4.65,0.799999999999972,-0.1)); #36296=CARTESIAN_POINT('',(4.65,-2.77555756156289E-14,-0.1)); #36297=CARTESIAN_POINT('',(4.65,-2.78461254348048E-14,-0.1)); #36298=CARTESIAN_POINT('',(4.65,0.799999999999972,-0.101)); #36299=CARTESIAN_POINT('',(4.65,0.799999999999972,-0.001)); #36300=CARTESIAN_POINT('',(4.65,-2.78461254348048E-14,-0.101)); #36301=CARTESIAN_POINT('',(4.65,-2.78461254348048E-14,-0.101)); #36302=CARTESIAN_POINT('',(4.65,-2.78461254348048E-14,-0.001)); #36303=CARTESIAN_POINT('Origin',(5.35,0.799999999999972,-0.001)); #36304=CARTESIAN_POINT('',(5.35,0.,-0.1)); #36305=CARTESIAN_POINT('',(5.35,0.799999999999972,-0.1)); #36306=CARTESIAN_POINT('',(5.35,-2.79318507107451E-14,-0.1)); #36307=CARTESIAN_POINT('',(5.35,-2.79318507107451E-14,-0.101)); #36308=CARTESIAN_POINT('',(5.35,-2.79318507107451E-14,-0.001)); #36309=CARTESIAN_POINT('',(5.35,0.799999999999972,-0.101)); #36310=CARTESIAN_POINT('',(5.35,-2.79318507107451E-14,-0.101)); #36311=CARTESIAN_POINT('',(5.35,0.799999999999972,-0.001)); #36312=CARTESIAN_POINT('Origin',(4.65,0.799999999999972,-0.001)); #36313=CARTESIAN_POINT('',(4.65,0.799999999999972,-0.1)); #36314=CARTESIAN_POINT('',(4.65,0.799999999999972,-0.101)); #36315=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #36316=CARTESIAN_POINT('',(5.25,-2.79196042427536E-14,-0.101)); #36317=CARTESIAN_POINT('',(4.65,-2.78461254348048E-14,-0.101)); #36318=CARTESIAN_POINT('',(4.75,-2.78583719027962E-14,-0.101)); #36319=CARTESIAN_POINT('Origin',(5.,0.,-0.101)); #36320=CARTESIAN_POINT('',(4.65,-2.78461254348048E-14,-0.101)); #36321=CARTESIAN_POINT('Origin',(0.65,8.57252759403148E-17,-0.001)); #36322=CARTESIAN_POINT('',(0.649999999999999,0.8,-0.1)); #36323=CARTESIAN_POINT('',(0.649999999999999,0.,-0.1)); #36324=CARTESIAN_POINT('',(0.65,8.57252759403148E-17,-0.1)); #36325=CARTESIAN_POINT('',(0.65,0.8,-0.101)); #36326=CARTESIAN_POINT('',(0.65,0.8,-0.001)); #36327=CARTESIAN_POINT('',(0.65,8.57252759403148E-17,-0.101)); #36328=CARTESIAN_POINT('',(0.65,8.57252759403148E-17,-0.101)); #36329=CARTESIAN_POINT('',(0.65,8.57252759403148E-17,-0.001)); #36330=CARTESIAN_POINT('Origin',(1.35,0.8,-0.001)); #36331=CARTESIAN_POINT('',(1.35,0.,-0.1)); #36332=CARTESIAN_POINT('',(1.35,0.8,-0.1)); #36333=CARTESIAN_POINT('',(1.35,0.,-0.1)); #36334=CARTESIAN_POINT('',(1.35,0.,-0.101)); #36335=CARTESIAN_POINT('',(1.35,0.,-0.001)); #36336=CARTESIAN_POINT('',(1.35,0.8,-0.101)); #36337=CARTESIAN_POINT('',(1.35,0.,-0.101)); #36338=CARTESIAN_POINT('',(1.35,0.8,-0.001)); #36339=CARTESIAN_POINT('Origin',(0.65,0.8,-0.001)); #36340=CARTESIAN_POINT('',(0.65,0.8,-0.1)); #36341=CARTESIAN_POINT('',(0.65,0.8,-0.101)); #36342=CARTESIAN_POINT('Origin',(0.,0.,-0.101)); #36343=CARTESIAN_POINT('',(1.25,1.22464679914736E-17,-0.101)); #36344=CARTESIAN_POINT('',(0.75,7.34788079488412E-17,-0.101)); #36345=CARTESIAN_POINT('Origin',(1.,0.,-0.101)); #36346=CARTESIAN_POINT('',(0.65,8.57252759403148E-17,-0.101)); #36347=CARTESIAN_POINT('',(0.65,8.57252759403148E-17,-0.101)); #36348=CARTESIAN_POINT('Origin',(0.,0.,-0.1)); #36349=CARTESIAN_POINT('',(18.5,19.,-0.1)); #36350=CARTESIAN_POINT('',(18.5,19.,-0.1)); #36351=CARTESIAN_POINT('',(18.5,0.,-0.1)); #36352=CARTESIAN_POINT('',(18.5,19.,-0.1)); #36353=CARTESIAN_POINT('',(0.,0.,-0.1)); #36354=CARTESIAN_POINT('',(0.,0.,-0.1)); #36355=CARTESIAN_POINT('',(0.,0.,-0.1)); #36356=CARTESIAN_POINT('',(0.,0.,-0.1)); #36357=CARTESIAN_POINT('',(0.,0.,-0.1)); #36358=CARTESIAN_POINT('',(0.,0.,-0.1)); #36359=CARTESIAN_POINT('',(0.,0.,-0.1)); #36360=CARTESIAN_POINT('',(0.,0.,-0.1)); #36361=CARTESIAN_POINT('',(0.,0.,-0.1)); #36362=CARTESIAN_POINT('',(0.,0.,-0.1)); #36363=CARTESIAN_POINT('',(0.,0.,-0.1)); #36364=CARTESIAN_POINT('',(0.,0.,-0.1)); #36365=CARTESIAN_POINT('',(0.,0.,-0.1)); #36366=CARTESIAN_POINT('',(0.,0.,-0.1)); #36367=CARTESIAN_POINT('',(0.,0.,-0.1)); #36368=CARTESIAN_POINT('',(0.,0.,-0.1)); #36369=CARTESIAN_POINT('',(0.,0.,-0.1)); #36370=CARTESIAN_POINT('',(0.,0.,-0.1)); #36371=CARTESIAN_POINT('',(0.,19.,-0.1)); #36372=CARTESIAN_POINT('',(0.,0.,-0.1)); #36373=CARTESIAN_POINT('',(0.,19.,-0.1)); #36374=CARTESIAN_POINT('',(0.,19.,-0.1)); #36375=CARTESIAN_POINT('',(0.,19.,-0.1)); #36376=CARTESIAN_POINT('',(0.,19.,-0.1)); #36377=CARTESIAN_POINT('',(0.,19.,-0.1)); #36378=CARTESIAN_POINT('',(0.,19.,-0.1)); #36379=CARTESIAN_POINT('',(0.,19.,-0.1)); #36380=CARTESIAN_POINT('',(0.,19.,-0.1)); #36381=CARTESIAN_POINT('',(0.,19.,-0.1)); #36382=CARTESIAN_POINT('',(0.,19.,-0.1)); #36383=CARTESIAN_POINT('',(0.,19.,-0.1)); #36384=CARTESIAN_POINT('',(0.,19.,-0.1)); #36385=CARTESIAN_POINT('',(0.,19.,-0.1)); #36386=CARTESIAN_POINT('',(0.,19.,-0.1)); #36387=CARTESIAN_POINT('',(0.,19.,-0.1)); #36388=CARTESIAN_POINT('',(0.,19.,-0.1)); #36389=CARTESIAN_POINT('',(18.5,19.,-0.1)); #36390=CARTESIAN_POINT('',(0.,19.,-0.1)); #36391=CARTESIAN_POINT('',(18.5,19.,-0.1)); #36392=CARTESIAN_POINT('',(18.5,19.,-0.1)); #36393=CARTESIAN_POINT('',(18.5,19.,-0.1)); #36394=CARTESIAN_POINT('',(18.5,19.,-0.1)); #36395=CARTESIAN_POINT('',(18.5,19.,-0.1)); #36396=CARTESIAN_POINT('',(18.5,19.,-0.1)); #36397=CARTESIAN_POINT('',(18.5,19.,-0.1)); #36398=CARTESIAN_POINT('Origin',(18.5,15.45,9.999)); #36399=CARTESIAN_POINT('',(18.5,15.2,0.699999999999999)); #36400=CARTESIAN_POINT('',(18.5,15.7,0.700000000000001)); #36401=CARTESIAN_POINT('Origin',(18.5,15.45,0.699999999999999)); #36402=CARTESIAN_POINT('',(18.5,15.2,9.999)); #36403=CARTESIAN_POINT('',(18.5,15.7,9.999)); #36404=CARTESIAN_POINT('Origin',(18.5,16.45,9.999)); #36405=CARTESIAN_POINT('',(18.5,16.2,0.699999999999999)); #36406=CARTESIAN_POINT('',(18.5,16.7,0.700000000000001)); #36407=CARTESIAN_POINT('Origin',(18.5,16.45,0.699999999999999)); #36408=CARTESIAN_POINT('',(18.5,16.2,9.999)); #36409=CARTESIAN_POINT('',(18.5,16.7,9.999)); #36410=CARTESIAN_POINT('Origin',(18.5,14.45,9.999)); #36411=CARTESIAN_POINT('',(18.5,14.2,0.699999999999999)); #36412=CARTESIAN_POINT('',(18.5,14.7,0.700000000000001)); #36413=CARTESIAN_POINT('Origin',(18.5,14.45,0.699999999999999)); #36414=CARTESIAN_POINT('',(18.5,14.2,9.999)); #36415=CARTESIAN_POINT('',(18.5,14.7,9.999)); #36416=CARTESIAN_POINT('Origin',(18.5,11.05,9.999)); #36417=CARTESIAN_POINT('',(18.5,10.8,0.699999999999999)); #36418=CARTESIAN_POINT('',(18.5,11.3,0.700000000000001)); #36419=CARTESIAN_POINT('Origin',(18.5,11.05,0.699999999999999)); #36420=CARTESIAN_POINT('',(18.5,10.8,9.999)); #36421=CARTESIAN_POINT('',(18.5,11.3,9.999)); #36422=CARTESIAN_POINT('Origin',(18.5,10.05,9.999)); #36423=CARTESIAN_POINT('',(18.5,9.8,0.699999999999999)); #36424=CARTESIAN_POINT('',(18.5,10.3,0.700000000000001)); #36425=CARTESIAN_POINT('Origin',(18.5,10.05,0.699999999999999)); #36426=CARTESIAN_POINT('',(18.5,9.8,9.999)); #36427=CARTESIAN_POINT('',(18.5,10.3,9.999)); #36428=CARTESIAN_POINT('Origin',(18.5,12.05,9.999)); #36429=CARTESIAN_POINT('',(18.5,11.8,0.699999999999999)); #36430=CARTESIAN_POINT('',(18.5,12.3,0.700000000000001)); #36431=CARTESIAN_POINT('Origin',(18.5,12.05,0.699999999999999)); #36432=CARTESIAN_POINT('',(18.5,11.8,9.999)); #36433=CARTESIAN_POINT('',(18.5,12.3,9.999)); #36434=CARTESIAN_POINT('Origin',(18.5,3.55,9.999)); #36435=CARTESIAN_POINT('',(18.5,3.3,0.699999999999999)); #36436=CARTESIAN_POINT('',(18.5,3.8,0.700000000000001)); #36437=CARTESIAN_POINT('Origin',(18.5,3.55,0.699999999999999)); #36438=CARTESIAN_POINT('',(18.5,3.3,9.999)); #36439=CARTESIAN_POINT('',(18.5,3.8,9.999)); #36440=CARTESIAN_POINT('Origin',(18.5,2.55,9.999)); #36441=CARTESIAN_POINT('',(18.5,2.3,0.699999999999999)); #36442=CARTESIAN_POINT('',(18.5,2.8,0.700000000000001)); #36443=CARTESIAN_POINT('Origin',(18.5,2.55,0.699999999999999)); #36444=CARTESIAN_POINT('',(18.5,2.3,9.999)); #36445=CARTESIAN_POINT('',(18.5,2.8,9.999)); #36446=CARTESIAN_POINT('Origin',(18.5,4.55,9.999)); #36447=CARTESIAN_POINT('',(18.5,4.3,0.699999999999999)); #36448=CARTESIAN_POINT('',(18.5,4.8,0.700000000000001)); #36449=CARTESIAN_POINT('Origin',(18.5,4.55,0.699999999999999)); #36450=CARTESIAN_POINT('',(18.5,4.3,9.999)); #36451=CARTESIAN_POINT('',(18.5,4.8,9.999)); #36452=CARTESIAN_POINT('Origin',(18.5,0.,0.)); #36453=CARTESIAN_POINT('',(18.5,19.,0.7)); #36454=CARTESIAN_POINT('Origin',(18.5,0.,0.)); #36455=CARTESIAN_POINT('',(18.5,19.,0.7)); #36456=CARTESIAN_POINT('Origin',(18.5,0.,0.)); #36457=CARTESIAN_POINT('',(18.5,19.,0.7)); #36458=CARTESIAN_POINT('Origin',(18.5,0.,0.)); #36459=CARTESIAN_POINT('',(18.5,19.,0.7)); #36460=CARTESIAN_POINT('Origin',(18.5,0.,0.)); #36461=CARTESIAN_POINT('',(18.5,19.,0.7)); #36462=CARTESIAN_POINT('Origin',(18.5,0.,0.)); #36463=CARTESIAN_POINT('',(18.5,19.,0.7)); #36464=CARTESIAN_POINT('Origin',(18.5,0.,0.)); #36465=CARTESIAN_POINT('',(18.5,19.,0.7)); #36466=CARTESIAN_POINT('Origin',(18.5,0.,0.)); #36467=CARTESIAN_POINT('',(18.5,19.,0.7)); #36468=CARTESIAN_POINT('Origin',(18.5,0.,0.)); #36469=CARTESIAN_POINT('',(18.5,0.,0.7)); #36470=CARTESIAN_POINT('',(18.5,19.,0.7)); #36471=CARTESIAN_POINT('',(18.5,0.,0.7)); #36472=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36473=CARTESIAN_POINT('',(18.5,19.,0.7)); #36474=CARTESIAN_POINT('',(18.5,19.,0.7)); #36475=CARTESIAN_POINT('',(17.35,19.,0.7)); #36476=CARTESIAN_POINT('',(0.,19.,0.7)); #36477=CARTESIAN_POINT('',(17.35,18.65,0.7)); #36478=CARTESIAN_POINT('',(17.35,19.,0.7)); #36479=CARTESIAN_POINT('',(16.65,18.65,0.7)); #36480=CARTESIAN_POINT('',(16.65,18.65,0.7)); #36481=CARTESIAN_POINT('',(16.65,19.,0.7)); #36482=CARTESIAN_POINT('',(16.65,19.,0.7)); #36483=CARTESIAN_POINT('',(16.35,19.,0.7)); #36484=CARTESIAN_POINT('',(0.,19.,0.7)); #36485=CARTESIAN_POINT('',(16.35,18.65,0.7)); #36486=CARTESIAN_POINT('',(16.35,19.,0.7)); #36487=CARTESIAN_POINT('',(15.65,18.65,0.7)); #36488=CARTESIAN_POINT('',(15.65,18.65,0.7)); #36489=CARTESIAN_POINT('',(15.65,19.,0.7)); #36490=CARTESIAN_POINT('',(15.65,19.,0.7)); #36491=CARTESIAN_POINT('',(15.35,19.,0.7)); #36492=CARTESIAN_POINT('',(0.,19.,0.7)); #36493=CARTESIAN_POINT('',(15.35,18.65,0.7)); #36494=CARTESIAN_POINT('',(15.35,19.,0.7)); #36495=CARTESIAN_POINT('',(14.65,18.65,0.7)); #36496=CARTESIAN_POINT('',(14.65,18.65,0.7)); #36497=CARTESIAN_POINT('',(14.65,19.,0.7)); #36498=CARTESIAN_POINT('',(14.65,19.,0.7)); #36499=CARTESIAN_POINT('',(14.35,19.,0.7)); #36500=CARTESIAN_POINT('',(0.,19.,0.7)); #36501=CARTESIAN_POINT('',(14.35,18.65,0.7)); #36502=CARTESIAN_POINT('',(14.35,19.,0.7)); #36503=CARTESIAN_POINT('',(13.65,18.65,0.7)); #36504=CARTESIAN_POINT('',(13.65,18.65,0.7)); #36505=CARTESIAN_POINT('',(13.65,19.,0.7)); #36506=CARTESIAN_POINT('',(13.65,19.,0.7)); #36507=CARTESIAN_POINT('',(13.35,19.,0.7)); #36508=CARTESIAN_POINT('',(0.,19.,0.7)); #36509=CARTESIAN_POINT('',(13.35,18.65,0.7)); #36510=CARTESIAN_POINT('',(13.35,19.,0.7)); #36511=CARTESIAN_POINT('',(12.65,18.65,0.7)); #36512=CARTESIAN_POINT('',(12.65,18.65,0.7)); #36513=CARTESIAN_POINT('',(12.65,19.,0.7)); #36514=CARTESIAN_POINT('',(12.65,19.,0.7)); #36515=CARTESIAN_POINT('',(11.35,19.,0.7)); #36516=CARTESIAN_POINT('',(0.,19.,0.7)); #36517=CARTESIAN_POINT('',(11.35,18.65,0.7)); #36518=CARTESIAN_POINT('',(11.35,19.,0.7)); #36519=CARTESIAN_POINT('',(10.65,18.65,0.7)); #36520=CARTESIAN_POINT('',(10.65,18.65,0.7)); #36521=CARTESIAN_POINT('',(10.65,19.,0.7)); #36522=CARTESIAN_POINT('',(10.65,19.,0.7)); #36523=CARTESIAN_POINT('',(10.35,19.,0.7)); #36524=CARTESIAN_POINT('',(0.,19.,0.7)); #36525=CARTESIAN_POINT('',(10.35,18.65,0.7)); #36526=CARTESIAN_POINT('',(10.35,19.,0.7)); #36527=CARTESIAN_POINT('',(9.65,18.65,0.7)); #36528=CARTESIAN_POINT('',(9.65,18.65,0.7)); #36529=CARTESIAN_POINT('',(9.65,19.,0.7)); #36530=CARTESIAN_POINT('',(9.65,19.,0.7)); #36531=CARTESIAN_POINT('',(9.35,19.,0.7)); #36532=CARTESIAN_POINT('',(0.,19.,0.7)); #36533=CARTESIAN_POINT('',(9.35,18.65,0.7)); #36534=CARTESIAN_POINT('',(9.35,19.,0.7)); #36535=CARTESIAN_POINT('',(8.65,18.65,0.7)); #36536=CARTESIAN_POINT('',(8.65,18.65,0.7)); #36537=CARTESIAN_POINT('',(8.65,19.,0.7)); #36538=CARTESIAN_POINT('',(8.65,19.,0.7)); #36539=CARTESIAN_POINT('',(8.35,19.,0.7)); #36540=CARTESIAN_POINT('',(0.,19.,0.7)); #36541=CARTESIAN_POINT('',(8.35,18.65,0.7)); #36542=CARTESIAN_POINT('',(8.35,19.,0.7)); #36543=CARTESIAN_POINT('',(7.65,18.65,0.7)); #36544=CARTESIAN_POINT('',(7.65,18.65,0.7)); #36545=CARTESIAN_POINT('',(7.65,19.,0.7)); #36546=CARTESIAN_POINT('',(7.65,19.,0.7)); #36547=CARTESIAN_POINT('',(7.35,19.,0.7)); #36548=CARTESIAN_POINT('',(0.,19.,0.7)); #36549=CARTESIAN_POINT('',(7.35,18.65,0.7)); #36550=CARTESIAN_POINT('',(7.35,19.,0.7)); #36551=CARTESIAN_POINT('',(6.65,18.65,0.7)); #36552=CARTESIAN_POINT('',(6.65,18.65,0.7)); #36553=CARTESIAN_POINT('',(6.65,19.,0.7)); #36554=CARTESIAN_POINT('',(6.65,19.,0.7)); #36555=CARTESIAN_POINT('',(6.35,19.,0.7)); #36556=CARTESIAN_POINT('',(0.,19.,0.7)); #36557=CARTESIAN_POINT('',(6.35,18.65,0.7)); #36558=CARTESIAN_POINT('',(6.35,19.,0.7)); #36559=CARTESIAN_POINT('',(5.65,18.65,0.7)); #36560=CARTESIAN_POINT('',(5.65,18.65,0.7)); #36561=CARTESIAN_POINT('',(5.65,19.,0.7)); #36562=CARTESIAN_POINT('',(5.65,19.,0.7)); #36563=CARTESIAN_POINT('',(5.35,19.,0.7)); #36564=CARTESIAN_POINT('',(0.,19.,0.7)); #36565=CARTESIAN_POINT('',(5.35,18.65,0.7)); #36566=CARTESIAN_POINT('',(5.35,19.,0.7)); #36567=CARTESIAN_POINT('',(4.65,18.65,0.7)); #36568=CARTESIAN_POINT('',(4.65,18.65,0.7)); #36569=CARTESIAN_POINT('',(4.65,19.,0.7)); #36570=CARTESIAN_POINT('',(4.65,19.,0.7)); #36571=CARTESIAN_POINT('',(4.35,19.,0.7)); #36572=CARTESIAN_POINT('',(0.,19.,0.7)); #36573=CARTESIAN_POINT('',(4.35,18.65,0.7)); #36574=CARTESIAN_POINT('',(4.35,19.,0.7)); #36575=CARTESIAN_POINT('',(3.65,18.65,0.7)); #36576=CARTESIAN_POINT('',(3.65,18.65,0.7)); #36577=CARTESIAN_POINT('',(3.65,19.,0.7)); #36578=CARTESIAN_POINT('',(3.65,19.,0.7)); #36579=CARTESIAN_POINT('',(3.35,19.,0.7)); #36580=CARTESIAN_POINT('',(0.,19.,0.7)); #36581=CARTESIAN_POINT('',(3.35,18.65,0.7)); #36582=CARTESIAN_POINT('',(3.35,19.,0.7)); #36583=CARTESIAN_POINT('',(2.65,18.65,0.7)); #36584=CARTESIAN_POINT('',(2.65,18.65,0.7)); #36585=CARTESIAN_POINT('',(2.65,19.,0.7)); #36586=CARTESIAN_POINT('',(2.65,19.,0.7)); #36587=CARTESIAN_POINT('',(2.35,19.,0.7)); #36588=CARTESIAN_POINT('',(0.,19.,0.7)); #36589=CARTESIAN_POINT('',(2.35,18.65,0.7)); #36590=CARTESIAN_POINT('',(2.35,19.,0.7)); #36591=CARTESIAN_POINT('',(1.65,18.65,0.7)); #36592=CARTESIAN_POINT('',(1.65,18.65,0.7)); #36593=CARTESIAN_POINT('',(1.65,19.,0.7)); #36594=CARTESIAN_POINT('',(1.65,19.,0.7)); #36595=CARTESIAN_POINT('',(1.35,19.,0.7)); #36596=CARTESIAN_POINT('',(0.,19.,0.7)); #36597=CARTESIAN_POINT('',(1.35,18.65,0.7)); #36598=CARTESIAN_POINT('',(1.35,19.,0.7)); #36599=CARTESIAN_POINT('',(0.649999999999998,18.65,0.7)); #36600=CARTESIAN_POINT('',(0.649999999999998,18.65,0.7)); #36601=CARTESIAN_POINT('',(0.649999999999998,19.,0.7)); #36602=CARTESIAN_POINT('',(0.649999999999998,19.,0.7)); #36603=CARTESIAN_POINT('',(0.,19.,0.7)); #36604=CARTESIAN_POINT('',(0.,19.,0.7)); #36605=CARTESIAN_POINT('',(0.,0.,0.7)); #36606=CARTESIAN_POINT('',(0.,0.,0.7)); #36607=CARTESIAN_POINT('',(0.65,0.,0.7)); #36608=CARTESIAN_POINT('',(0.,0.,0.7)); #36609=CARTESIAN_POINT('',(0.65,0.35,0.7)); #36610=CARTESIAN_POINT('',(0.65,0.,0.7)); #36611=CARTESIAN_POINT('',(1.35,0.35,0.7)); #36612=CARTESIAN_POINT('',(0.65,0.35,0.7)); #36613=CARTESIAN_POINT('',(1.35,0.,0.7)); #36614=CARTESIAN_POINT('',(1.35,0.,0.7)); #36615=CARTESIAN_POINT('',(1.65,0.,0.7)); #36616=CARTESIAN_POINT('',(0.,0.,0.7)); #36617=CARTESIAN_POINT('',(1.65,0.35,0.7)); #36618=CARTESIAN_POINT('',(1.65,0.,0.7)); #36619=CARTESIAN_POINT('',(2.35,0.35,0.7)); #36620=CARTESIAN_POINT('',(1.65,0.35,0.7)); #36621=CARTESIAN_POINT('',(2.35,0.,0.7)); #36622=CARTESIAN_POINT('',(2.35,0.,0.7)); #36623=CARTESIAN_POINT('',(2.65,0.,0.7)); #36624=CARTESIAN_POINT('',(0.,0.,0.7)); #36625=CARTESIAN_POINT('',(2.65,0.35,0.7)); #36626=CARTESIAN_POINT('',(2.65,0.,0.7)); #36627=CARTESIAN_POINT('',(3.35,0.35,0.7)); #36628=CARTESIAN_POINT('',(2.65,0.35,0.7)); #36629=CARTESIAN_POINT('',(3.35,0.,0.7)); #36630=CARTESIAN_POINT('',(3.35,0.,0.7)); #36631=CARTESIAN_POINT('',(3.65,0.,0.7)); #36632=CARTESIAN_POINT('',(0.,0.,0.7)); #36633=CARTESIAN_POINT('',(3.65,0.35,0.7)); #36634=CARTESIAN_POINT('',(3.65,0.,0.7)); #36635=CARTESIAN_POINT('',(4.35,0.35,0.7)); #36636=CARTESIAN_POINT('',(3.65,0.35,0.7)); #36637=CARTESIAN_POINT('',(4.35,0.,0.7)); #36638=CARTESIAN_POINT('',(4.35,0.,0.7)); #36639=CARTESIAN_POINT('',(4.65,0.,0.7)); #36640=CARTESIAN_POINT('',(0.,0.,0.7)); #36641=CARTESIAN_POINT('',(4.65,0.35,0.7)); #36642=CARTESIAN_POINT('',(4.65,0.,0.7)); #36643=CARTESIAN_POINT('',(5.35,0.35,0.7)); #36644=CARTESIAN_POINT('',(4.65,0.35,0.7)); #36645=CARTESIAN_POINT('',(5.35,0.,0.7)); #36646=CARTESIAN_POINT('',(5.35,0.,0.7)); #36647=CARTESIAN_POINT('',(5.65,0.,0.7)); #36648=CARTESIAN_POINT('',(0.,0.,0.7)); #36649=CARTESIAN_POINT('',(5.65,0.35,0.7)); #36650=CARTESIAN_POINT('',(5.65,0.,0.7)); #36651=CARTESIAN_POINT('',(6.35,0.35,0.7)); #36652=CARTESIAN_POINT('',(5.65,0.35,0.7)); #36653=CARTESIAN_POINT('',(6.35,0.,0.7)); #36654=CARTESIAN_POINT('',(6.35,0.,0.7)); #36655=CARTESIAN_POINT('',(6.65,0.,0.7)); #36656=CARTESIAN_POINT('',(0.,0.,0.7)); #36657=CARTESIAN_POINT('',(6.65,0.35,0.7)); #36658=CARTESIAN_POINT('',(6.65,0.,0.7)); #36659=CARTESIAN_POINT('',(7.35,0.35,0.7)); #36660=CARTESIAN_POINT('',(6.65,0.35,0.7)); #36661=CARTESIAN_POINT('',(7.35,0.,0.7)); #36662=CARTESIAN_POINT('',(7.35,0.,0.7)); #36663=CARTESIAN_POINT('',(7.65,0.,0.7)); #36664=CARTESIAN_POINT('',(0.,0.,0.7)); #36665=CARTESIAN_POINT('',(7.65,0.35,0.7)); #36666=CARTESIAN_POINT('',(7.65,0.,0.7)); #36667=CARTESIAN_POINT('',(8.35,0.35,0.7)); #36668=CARTESIAN_POINT('',(7.65,0.35,0.7)); #36669=CARTESIAN_POINT('',(8.35,0.,0.7)); #36670=CARTESIAN_POINT('',(8.35,0.,0.7)); #36671=CARTESIAN_POINT('',(8.65,0.,0.7)); #36672=CARTESIAN_POINT('',(0.,0.,0.7)); #36673=CARTESIAN_POINT('',(8.65,0.35,0.7)); #36674=CARTESIAN_POINT('',(8.65,0.,0.7)); #36675=CARTESIAN_POINT('',(9.35,0.35,0.7)); #36676=CARTESIAN_POINT('',(8.65,0.35,0.7)); #36677=CARTESIAN_POINT('',(9.35,0.,0.7)); #36678=CARTESIAN_POINT('',(9.35,0.,0.7)); #36679=CARTESIAN_POINT('',(9.65,0.,0.7)); #36680=CARTESIAN_POINT('',(0.,0.,0.7)); #36681=CARTESIAN_POINT('',(9.65,0.35,0.7)); #36682=CARTESIAN_POINT('',(9.65,0.,0.7)); #36683=CARTESIAN_POINT('',(10.35,0.35,0.7)); #36684=CARTESIAN_POINT('',(9.65,0.35,0.7)); #36685=CARTESIAN_POINT('',(10.35,0.,0.7)); #36686=CARTESIAN_POINT('',(10.35,0.,0.7)); #36687=CARTESIAN_POINT('',(10.65,0.,0.7)); #36688=CARTESIAN_POINT('',(0.,0.,0.7)); #36689=CARTESIAN_POINT('',(10.65,0.35,0.7)); #36690=CARTESIAN_POINT('',(10.65,0.,0.7)); #36691=CARTESIAN_POINT('',(11.35,0.35,0.7)); #36692=CARTESIAN_POINT('',(10.65,0.35,0.7)); #36693=CARTESIAN_POINT('',(11.35,0.,0.7)); #36694=CARTESIAN_POINT('',(11.35,0.,0.7)); #36695=CARTESIAN_POINT('',(12.65,0.,0.7)); #36696=CARTESIAN_POINT('',(0.,0.,0.7)); #36697=CARTESIAN_POINT('',(12.65,0.35,0.7)); #36698=CARTESIAN_POINT('',(12.65,0.,0.7)); #36699=CARTESIAN_POINT('',(13.35,0.35,0.7)); #36700=CARTESIAN_POINT('',(12.65,0.35,0.7)); #36701=CARTESIAN_POINT('',(13.35,0.,0.7)); #36702=CARTESIAN_POINT('',(13.35,0.,0.7)); #36703=CARTESIAN_POINT('',(13.65,0.,0.7)); #36704=CARTESIAN_POINT('',(0.,0.,0.7)); #36705=CARTESIAN_POINT('',(13.65,0.35,0.7)); #36706=CARTESIAN_POINT('',(13.65,0.,0.7)); #36707=CARTESIAN_POINT('',(14.35,0.35,0.7)); #36708=CARTESIAN_POINT('',(13.65,0.35,0.7)); #36709=CARTESIAN_POINT('',(14.35,0.,0.7)); #36710=CARTESIAN_POINT('',(14.35,0.,0.7)); #36711=CARTESIAN_POINT('',(14.65,0.,0.7)); #36712=CARTESIAN_POINT('',(0.,0.,0.7)); #36713=CARTESIAN_POINT('',(14.65,0.35,0.7)); #36714=CARTESIAN_POINT('',(14.65,0.,0.7)); #36715=CARTESIAN_POINT('',(15.35,0.35,0.7)); #36716=CARTESIAN_POINT('',(14.65,0.35,0.7)); #36717=CARTESIAN_POINT('',(15.35,0.,0.7)); #36718=CARTESIAN_POINT('',(15.35,0.,0.7)); #36719=CARTESIAN_POINT('',(15.65,0.,0.7)); #36720=CARTESIAN_POINT('',(0.,0.,0.7)); #36721=CARTESIAN_POINT('',(15.65,0.35,0.7)); #36722=CARTESIAN_POINT('',(15.65,0.,0.7)); #36723=CARTESIAN_POINT('',(16.35,0.35,0.7)); #36724=CARTESIAN_POINT('',(15.65,0.35,0.7)); #36725=CARTESIAN_POINT('',(16.35,0.,0.7)); #36726=CARTESIAN_POINT('',(16.35,0.,0.7)); #36727=CARTESIAN_POINT('',(16.65,0.,0.7)); #36728=CARTESIAN_POINT('',(0.,0.,0.7)); #36729=CARTESIAN_POINT('',(16.65,0.35,0.7)); #36730=CARTESIAN_POINT('',(16.65,0.,0.7)); #36731=CARTESIAN_POINT('',(17.35,0.35,0.7)); #36732=CARTESIAN_POINT('',(16.65,0.35,0.7)); #36733=CARTESIAN_POINT('',(17.35,0.,0.7)); #36734=CARTESIAN_POINT('',(17.35,0.,0.7)); #36735=CARTESIAN_POINT('',(0.,0.,0.7)); #36736=CARTESIAN_POINT('',(0.15,18.35,0.7)); #36737=CARTESIAN_POINT('',(0.150000000000002,0.65,0.7)); #36738=CARTESIAN_POINT('',(0.15,18.35,0.7)); #36739=CARTESIAN_POINT('',(17.85,18.35,0.7)); #36740=CARTESIAN_POINT('',(0.15,18.35,0.7)); #36741=CARTESIAN_POINT('',(17.85,0.65,0.7)); #36742=CARTESIAN_POINT('',(17.85,18.35,0.7)); #36743=CARTESIAN_POINT('',(0.150000000000002,0.65,0.7)); #36744=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36745=CARTESIAN_POINT('',(6.75,0.,0.701)); #36746=CARTESIAN_POINT('',(6.75,0.,-0.00100000000000002)); #36747=CARTESIAN_POINT('',(6.65,0.,0.701)); #36748=CARTESIAN_POINT('',(6.65,0.,0.701)); #36749=CARTESIAN_POINT('',(6.65,0.,0.701)); #36750=CARTESIAN_POINT('',(6.35,0.,0.701)); #36751=CARTESIAN_POINT('',(6.35,0.,0.701)); #36752=CARTESIAN_POINT('',(6.25,0.,0.701)); #36753=CARTESIAN_POINT('',(5.65,0.,0.701)); #36754=CARTESIAN_POINT('',(6.25,0.,-0.00100000000000002)); #36755=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36756=CARTESIAN_POINT('',(5.75,0.,0.701)); #36757=CARTESIAN_POINT('',(5.75,0.,-0.00100000000000002)); #36758=CARTESIAN_POINT('',(5.65,0.,0.701)); #36759=CARTESIAN_POINT('',(5.65,0.,0.701)); #36760=CARTESIAN_POINT('',(5.65,0.,0.701)); #36761=CARTESIAN_POINT('',(5.35,0.,0.701)); #36762=CARTESIAN_POINT('',(5.35,0.,0.701)); #36763=CARTESIAN_POINT('',(5.25,0.,0.701)); #36764=CARTESIAN_POINT('',(4.65,0.,0.701)); #36765=CARTESIAN_POINT('',(5.25,0.,-0.00100000000000002)); #36766=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36767=CARTESIAN_POINT('',(8.75,0.,0.701)); #36768=CARTESIAN_POINT('',(8.75,0.,-0.00100000000000002)); #36769=CARTESIAN_POINT('',(8.65,0.,0.701)); #36770=CARTESIAN_POINT('',(8.65,0.,0.701)); #36771=CARTESIAN_POINT('',(8.65,0.,0.701)); #36772=CARTESIAN_POINT('',(8.35,0.,0.701)); #36773=CARTESIAN_POINT('',(8.35,0.,0.701)); #36774=CARTESIAN_POINT('',(8.25,0.,0.701)); #36775=CARTESIAN_POINT('',(7.65,0.,0.701)); #36776=CARTESIAN_POINT('',(8.25,0.,-0.00100000000000002)); #36777=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36778=CARTESIAN_POINT('',(7.25,0.,0.701)); #36779=CARTESIAN_POINT('',(7.35,0.,0.701)); #36780=CARTESIAN_POINT('',(6.65,0.,0.701)); #36781=CARTESIAN_POINT('',(7.25,0.,-0.00100000000000002)); #36782=CARTESIAN_POINT('',(7.75,0.,0.701)); #36783=CARTESIAN_POINT('',(7.75,0.,-0.00100000000000002)); #36784=CARTESIAN_POINT('',(7.65,0.,0.701)); #36785=CARTESIAN_POINT('',(7.65,0.,0.701)); #36786=CARTESIAN_POINT('',(7.65,0.,0.701)); #36787=CARTESIAN_POINT('',(7.35,0.,0.701)); #36788=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36789=CARTESIAN_POINT('',(2.75,0.,0.701)); #36790=CARTESIAN_POINT('',(2.75,0.,-0.00100000000000002)); #36791=CARTESIAN_POINT('',(2.65,0.,0.701)); #36792=CARTESIAN_POINT('',(2.65,0.,0.701)); #36793=CARTESIAN_POINT('',(2.65,0.,0.701)); #36794=CARTESIAN_POINT('',(2.35,0.,0.701)); #36795=CARTESIAN_POINT('',(2.35,0.,0.701)); #36796=CARTESIAN_POINT('',(2.25,0.,0.701)); #36797=CARTESIAN_POINT('',(1.65,0.,0.701)); #36798=CARTESIAN_POINT('',(2.25,0.,-0.00100000000000002)); #36799=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36800=CARTESIAN_POINT('',(1.75,0.,0.701)); #36801=CARTESIAN_POINT('',(1.75,0.,-0.00100000000000002)); #36802=CARTESIAN_POINT('',(1.65,0.,0.701)); #36803=CARTESIAN_POINT('',(1.65,0.,0.701)); #36804=CARTESIAN_POINT('',(1.65,0.,0.701)); #36805=CARTESIAN_POINT('',(1.35,0.,0.701)); #36806=CARTESIAN_POINT('',(1.35,0.,0.701)); #36807=CARTESIAN_POINT('',(1.25,0.,0.701)); #36808=CARTESIAN_POINT('',(0.65,0.,0.701)); #36809=CARTESIAN_POINT('',(1.25,0.,-0.00100000000000002)); #36810=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36811=CARTESIAN_POINT('',(4.75,0.,0.701)); #36812=CARTESIAN_POINT('',(4.75,0.,-0.00100000000000002)); #36813=CARTESIAN_POINT('',(4.65,0.,0.701)); #36814=CARTESIAN_POINT('',(4.65,0.,0.701)); #36815=CARTESIAN_POINT('',(4.65,0.,0.701)); #36816=CARTESIAN_POINT('',(4.35,0.,0.701)); #36817=CARTESIAN_POINT('',(4.35,0.,0.701)); #36818=CARTESIAN_POINT('',(4.25,0.,0.701)); #36819=CARTESIAN_POINT('',(3.65,0.,0.701)); #36820=CARTESIAN_POINT('',(4.25,0.,-0.00100000000000002)); #36821=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36822=CARTESIAN_POINT('',(3.25,0.,0.701)); #36823=CARTESIAN_POINT('',(3.35,0.,0.701)); #36824=CARTESIAN_POINT('',(2.65,0.,0.701)); #36825=CARTESIAN_POINT('',(3.25,0.,-0.00100000000000002)); #36826=CARTESIAN_POINT('',(3.75,0.,0.701)); #36827=CARTESIAN_POINT('',(3.75,0.,-0.00100000000000002)); #36828=CARTESIAN_POINT('',(3.65,0.,0.701)); #36829=CARTESIAN_POINT('',(3.65,0.,0.701)); #36830=CARTESIAN_POINT('',(3.65,0.,0.701)); #36831=CARTESIAN_POINT('',(3.35,0.,0.701)); #36832=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36833=CARTESIAN_POINT('',(15.75,0.,0.701)); #36834=CARTESIAN_POINT('',(15.75,0.,-0.00100000000000002)); #36835=CARTESIAN_POINT('',(15.65,0.,0.701)); #36836=CARTESIAN_POINT('',(15.65,0.,0.701)); #36837=CARTESIAN_POINT('',(15.65,0.,0.701)); #36838=CARTESIAN_POINT('',(15.35,0.,0.701)); #36839=CARTESIAN_POINT('',(15.35,0.,0.701)); #36840=CARTESIAN_POINT('',(15.25,0.,0.701)); #36841=CARTESIAN_POINT('',(14.65,0.,0.701)); #36842=CARTESIAN_POINT('',(15.25,0.,-0.00100000000000002)); #36843=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36844=CARTESIAN_POINT('',(14.75,0.,0.701)); #36845=CARTESIAN_POINT('',(14.75,0.,-0.00100000000000002)); #36846=CARTESIAN_POINT('',(14.65,0.,0.701)); #36847=CARTESIAN_POINT('',(14.65,0.,0.701)); #36848=CARTESIAN_POINT('',(14.65,0.,0.701)); #36849=CARTESIAN_POINT('',(14.35,0.,0.701)); #36850=CARTESIAN_POINT('',(14.35,0.,0.701)); #36851=CARTESIAN_POINT('',(14.25,0.,0.701)); #36852=CARTESIAN_POINT('',(13.65,0.,0.701)); #36853=CARTESIAN_POINT('',(14.25,0.,-0.00100000000000002)); #36854=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36855=CARTESIAN_POINT('',(16.25,0.,0.701)); #36856=CARTESIAN_POINT('',(16.35,0.,0.701)); #36857=CARTESIAN_POINT('',(15.65,0.,0.701)); #36858=CARTESIAN_POINT('',(16.25,0.,-0.00100000000000002)); #36859=CARTESIAN_POINT('',(16.75,0.,0.701)); #36860=CARTESIAN_POINT('',(16.75,0.,-0.00100000000000002)); #36861=CARTESIAN_POINT('',(16.65,0.,0.701)); #36862=CARTESIAN_POINT('',(16.65,0.,0.701)); #36863=CARTESIAN_POINT('',(16.65,0.,0.701)); #36864=CARTESIAN_POINT('',(16.35,0.,0.701)); #36865=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36866=CARTESIAN_POINT('',(10.75,0.,0.701)); #36867=CARTESIAN_POINT('',(10.75,0.,-0.00100000000000002)); #36868=CARTESIAN_POINT('',(10.65,0.,0.701)); #36869=CARTESIAN_POINT('',(10.65,0.,0.701)); #36870=CARTESIAN_POINT('',(10.65,0.,0.701)); #36871=CARTESIAN_POINT('',(10.35,0.,0.701)); #36872=CARTESIAN_POINT('',(10.35,0.,0.701)); #36873=CARTESIAN_POINT('',(10.25,0.,0.701)); #36874=CARTESIAN_POINT('',(9.65,0.,0.701)); #36875=CARTESIAN_POINT('',(10.25,0.,-0.00100000000000002)); #36876=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36877=CARTESIAN_POINT('',(9.25,0.,0.701)); #36878=CARTESIAN_POINT('',(9.35,0.,0.701)); #36879=CARTESIAN_POINT('',(8.65,0.,0.701)); #36880=CARTESIAN_POINT('',(9.25,0.,-0.00100000000000002)); #36881=CARTESIAN_POINT('',(9.75,0.,0.701)); #36882=CARTESIAN_POINT('',(9.75,0.,-0.00100000000000002)); #36883=CARTESIAN_POINT('',(9.65,0.,0.701)); #36884=CARTESIAN_POINT('',(9.65,0.,0.701)); #36885=CARTESIAN_POINT('',(9.65,0.,0.701)); #36886=CARTESIAN_POINT('',(9.35,0.,0.701)); #36887=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36888=CARTESIAN_POINT('',(13.75,0.,0.701)); #36889=CARTESIAN_POINT('',(13.75,0.,-0.00100000000000002)); #36890=CARTESIAN_POINT('',(13.65,0.,0.701)); #36891=CARTESIAN_POINT('',(13.65,0.,0.701)); #36892=CARTESIAN_POINT('',(13.65,0.,0.701)); #36893=CARTESIAN_POINT('',(13.35,0.,0.701)); #36894=CARTESIAN_POINT('',(13.35,0.,0.701)); #36895=CARTESIAN_POINT('',(13.25,0.,0.701)); #36896=CARTESIAN_POINT('',(12.65,0.,0.701)); #36897=CARTESIAN_POINT('',(13.25,0.,-0.00100000000000002)); #36898=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36899=CARTESIAN_POINT('',(11.25,0.,0.701)); #36900=CARTESIAN_POINT('',(11.35,0.,0.701)); #36901=CARTESIAN_POINT('',(10.65,0.,0.701)); #36902=CARTESIAN_POINT('',(11.25,0.,-0.00100000000000002)); #36903=CARTESIAN_POINT('',(12.75,0.,0.701)); #36904=CARTESIAN_POINT('',(12.75,0.,-0.00100000000000002)); #36905=CARTESIAN_POINT('',(12.65,0.,0.701)); #36906=CARTESIAN_POINT('',(12.65,0.,0.701)); #36907=CARTESIAN_POINT('',(12.65,0.,0.701)); #36908=CARTESIAN_POINT('',(11.35,0.,0.701)); #36909=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #36910=CARTESIAN_POINT('',(0.75,0.,0.701)); #36911=CARTESIAN_POINT('',(0.75,0.,-0.00100000000000002)); #36912=CARTESIAN_POINT('',(0.65,0.,0.701)); #36913=CARTESIAN_POINT('',(0.65,0.,0.701)); #36914=CARTESIAN_POINT('',(0.65,0.,0.701)); #36915=CARTESIAN_POINT('',(0.,0.,0.)); #36916=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #36917=CARTESIAN_POINT('',(6.25,19.,0.701)); #36918=CARTESIAN_POINT('',(6.25,19.,-0.00100000000000002)); #36919=CARTESIAN_POINT('',(6.35,19.,0.701)); #36920=CARTESIAN_POINT('',(5.65,19.,0.701)); #36921=CARTESIAN_POINT('',(6.35,19.,0.701)); #36922=CARTESIAN_POINT('',(6.65,19.,0.701)); #36923=CARTESIAN_POINT('',(6.65,19.,0.701)); #36924=CARTESIAN_POINT('',(6.75,19.,0.701)); #36925=CARTESIAN_POINT('',(6.65,19.,0.701)); #36926=CARTESIAN_POINT('',(6.75,19.,-0.00100000000000002)); #36927=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #36928=CARTESIAN_POINT('',(5.75,19.,0.701)); #36929=CARTESIAN_POINT('',(5.65,19.,0.701)); #36930=CARTESIAN_POINT('',(5.65,19.,0.701)); #36931=CARTESIAN_POINT('',(5.75,19.,-0.00100000000000002)); #36932=CARTESIAN_POINT('',(5.25,19.,0.701)); #36933=CARTESIAN_POINT('',(5.25,19.,-0.00100000000000002)); #36934=CARTESIAN_POINT('',(5.35,19.,0.701)); #36935=CARTESIAN_POINT('',(4.65,19.,0.701)); #36936=CARTESIAN_POINT('',(5.35,19.,0.701)); #36937=CARTESIAN_POINT('',(5.65,19.,0.701)); #36938=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #36939=CARTESIAN_POINT('',(8.25,19.,0.701)); #36940=CARTESIAN_POINT('',(8.25,19.,-0.00100000000000002)); #36941=CARTESIAN_POINT('',(8.35,19.,0.701)); #36942=CARTESIAN_POINT('',(7.65,19.,0.701)); #36943=CARTESIAN_POINT('',(8.35,19.,0.701)); #36944=CARTESIAN_POINT('',(8.65,19.,0.701)); #36945=CARTESIAN_POINT('',(8.65,19.,0.701)); #36946=CARTESIAN_POINT('',(8.75,19.,0.701)); #36947=CARTESIAN_POINT('',(8.65,19.,0.701)); #36948=CARTESIAN_POINT('',(8.75,19.,-0.00100000000000002)); #36949=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #36950=CARTESIAN_POINT('',(7.75,19.,0.701)); #36951=CARTESIAN_POINT('',(7.65,19.,0.701)); #36952=CARTESIAN_POINT('',(7.65,19.,0.701)); #36953=CARTESIAN_POINT('',(7.75,19.,-0.00100000000000002)); #36954=CARTESIAN_POINT('',(7.25,19.,0.701)); #36955=CARTESIAN_POINT('',(7.25,19.,-0.00100000000000002)); #36956=CARTESIAN_POINT('',(7.35,19.,0.701)); #36957=CARTESIAN_POINT('',(6.65,19.,0.701)); #36958=CARTESIAN_POINT('',(7.35,19.,0.701)); #36959=CARTESIAN_POINT('',(7.65,19.,0.701)); #36960=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #36961=CARTESIAN_POINT('',(2.25,19.,0.701)); #36962=CARTESIAN_POINT('',(2.25,19.,-0.00100000000000002)); #36963=CARTESIAN_POINT('',(2.35,19.,0.701)); #36964=CARTESIAN_POINT('',(1.65,19.,0.701)); #36965=CARTESIAN_POINT('',(2.35,19.,0.701)); #36966=CARTESIAN_POINT('',(2.65,19.,0.701)); #36967=CARTESIAN_POINT('',(2.65,19.,0.701)); #36968=CARTESIAN_POINT('',(2.75,19.,0.701)); #36969=CARTESIAN_POINT('',(2.65,19.,0.701)); #36970=CARTESIAN_POINT('',(2.75,19.,-0.00100000000000002)); #36971=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #36972=CARTESIAN_POINT('',(1.75,19.,0.701)); #36973=CARTESIAN_POINT('',(1.65,19.,0.701)); #36974=CARTESIAN_POINT('',(1.65,19.,0.701)); #36975=CARTESIAN_POINT('',(1.75,19.,-0.00100000000000002)); #36976=CARTESIAN_POINT('',(1.25,19.,0.701)); #36977=CARTESIAN_POINT('',(1.25,19.,-0.00100000000000002)); #36978=CARTESIAN_POINT('',(1.35,19.,0.701)); #36979=CARTESIAN_POINT('',(0.649999999999998,19.,0.701)); #36980=CARTESIAN_POINT('',(1.35,19.,0.701)); #36981=CARTESIAN_POINT('',(1.65,19.,0.701)); #36982=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #36983=CARTESIAN_POINT('',(4.75,19.,0.701)); #36984=CARTESIAN_POINT('',(4.65,19.,0.701)); #36985=CARTESIAN_POINT('',(4.65,19.,0.701)); #36986=CARTESIAN_POINT('',(4.75,19.,-0.00100000000000002)); #36987=CARTESIAN_POINT('',(4.25,19.,0.701)); #36988=CARTESIAN_POINT('',(4.25,19.,-0.00100000000000002)); #36989=CARTESIAN_POINT('',(4.35,19.,0.701)); #36990=CARTESIAN_POINT('',(3.65,19.,0.701)); #36991=CARTESIAN_POINT('',(4.35,19.,0.701)); #36992=CARTESIAN_POINT('',(4.65,19.,0.701)); #36993=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #36994=CARTESIAN_POINT('',(3.75,19.,0.701)); #36995=CARTESIAN_POINT('',(3.65,19.,0.701)); #36996=CARTESIAN_POINT('',(3.65,19.,0.701)); #36997=CARTESIAN_POINT('',(3.75,19.,-0.00100000000000002)); #36998=CARTESIAN_POINT('',(3.25,19.,0.701)); #36999=CARTESIAN_POINT('',(3.25,19.,-0.00100000000000002)); #37000=CARTESIAN_POINT('',(3.35,19.,0.701)); #37001=CARTESIAN_POINT('',(2.65,19.,0.701)); #37002=CARTESIAN_POINT('',(3.35,19.,0.701)); #37003=CARTESIAN_POINT('',(3.65,19.,0.701)); #37004=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #37005=CARTESIAN_POINT('',(15.25,19.,0.701)); #37006=CARTESIAN_POINT('',(15.25,19.,-0.00100000000000002)); #37007=CARTESIAN_POINT('',(15.35,19.,0.701)); #37008=CARTESIAN_POINT('',(14.65,19.,0.701)); #37009=CARTESIAN_POINT('',(15.35,19.,0.701)); #37010=CARTESIAN_POINT('',(15.65,19.,0.701)); #37011=CARTESIAN_POINT('',(15.65,19.,0.701)); #37012=CARTESIAN_POINT('',(15.75,19.,0.701)); #37013=CARTESIAN_POINT('',(15.65,19.,0.701)); #37014=CARTESIAN_POINT('',(15.75,19.,-0.00100000000000002)); #37015=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #37016=CARTESIAN_POINT('',(14.75,19.,0.701)); #37017=CARTESIAN_POINT('',(14.65,19.,0.701)); #37018=CARTESIAN_POINT('',(14.65,19.,0.701)); #37019=CARTESIAN_POINT('',(14.75,19.,-0.00100000000000002)); #37020=CARTESIAN_POINT('',(14.25,19.,0.701)); #37021=CARTESIAN_POINT('',(14.25,19.,-0.00100000000000002)); #37022=CARTESIAN_POINT('',(14.35,19.,0.701)); #37023=CARTESIAN_POINT('',(13.65,19.,0.701)); #37024=CARTESIAN_POINT('',(14.35,19.,0.701)); #37025=CARTESIAN_POINT('',(14.65,19.,0.701)); #37026=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #37027=CARTESIAN_POINT('',(17.25,19.,0.701)); #37028=CARTESIAN_POINT('',(17.25,19.,-0.00100000000000002)); #37029=CARTESIAN_POINT('',(17.35,19.,0.701)); #37030=CARTESIAN_POINT('',(16.65,19.,0.701)); #37031=CARTESIAN_POINT('',(17.35,19.,0.701)); #37032=CARTESIAN_POINT('',(18.5,19.,0.)); #37033=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #37034=CARTESIAN_POINT('',(16.75,19.,0.701)); #37035=CARTESIAN_POINT('',(16.65,19.,0.701)); #37036=CARTESIAN_POINT('',(16.65,19.,0.701)); #37037=CARTESIAN_POINT('',(16.75,19.,-0.00100000000000002)); #37038=CARTESIAN_POINT('',(16.25,19.,0.701)); #37039=CARTESIAN_POINT('',(16.25,19.,-0.00100000000000002)); #37040=CARTESIAN_POINT('',(16.35,19.,0.701)); #37041=CARTESIAN_POINT('',(15.65,19.,0.701)); #37042=CARTESIAN_POINT('',(16.35,19.,0.701)); #37043=CARTESIAN_POINT('',(16.65,19.,0.701)); #37044=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #37045=CARTESIAN_POINT('',(10.25,19.,0.701)); #37046=CARTESIAN_POINT('',(10.25,19.,-0.00100000000000002)); #37047=CARTESIAN_POINT('',(10.35,19.,0.701)); #37048=CARTESIAN_POINT('',(9.65,19.,0.701)); #37049=CARTESIAN_POINT('',(10.35,19.,0.701)); #37050=CARTESIAN_POINT('',(10.65,19.,0.701)); #37051=CARTESIAN_POINT('',(10.65,19.,0.701)); #37052=CARTESIAN_POINT('',(10.75,19.,0.701)); #37053=CARTESIAN_POINT('',(10.65,19.,0.701)); #37054=CARTESIAN_POINT('',(10.75,19.,-0.00100000000000002)); #37055=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #37056=CARTESIAN_POINT('',(9.75,19.,0.701)); #37057=CARTESIAN_POINT('',(9.65,19.,0.701)); #37058=CARTESIAN_POINT('',(9.65,19.,0.701)); #37059=CARTESIAN_POINT('',(9.75,19.,-0.00100000000000002)); #37060=CARTESIAN_POINT('',(9.25,19.,0.701)); #37061=CARTESIAN_POINT('',(9.25,19.,-0.00100000000000002)); #37062=CARTESIAN_POINT('',(9.35,19.,0.701)); #37063=CARTESIAN_POINT('',(8.65,19.,0.701)); #37064=CARTESIAN_POINT('',(9.35,19.,0.701)); #37065=CARTESIAN_POINT('',(9.65,19.,0.701)); #37066=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #37067=CARTESIAN_POINT('',(13.75,19.,0.701)); #37068=CARTESIAN_POINT('',(13.65,19.,0.701)); #37069=CARTESIAN_POINT('',(13.65,19.,0.701)); #37070=CARTESIAN_POINT('',(13.75,19.,-0.00100000000000002)); #37071=CARTESIAN_POINT('',(13.25,19.,0.701)); #37072=CARTESIAN_POINT('',(13.25,19.,-0.00100000000000002)); #37073=CARTESIAN_POINT('',(13.35,19.,0.701)); #37074=CARTESIAN_POINT('',(12.65,19.,0.701)); #37075=CARTESIAN_POINT('',(13.35,19.,0.701)); #37076=CARTESIAN_POINT('',(13.65,19.,0.701)); #37077=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #37078=CARTESIAN_POINT('',(12.75,19.,0.701)); #37079=CARTESIAN_POINT('',(12.65,19.,0.701)); #37080=CARTESIAN_POINT('',(12.65,19.,0.701)); #37081=CARTESIAN_POINT('',(12.75,19.,-0.00100000000000002)); #37082=CARTESIAN_POINT('',(11.25,19.,0.701)); #37083=CARTESIAN_POINT('',(11.25,19.,-0.00100000000000002)); #37084=CARTESIAN_POINT('',(11.35,19.,0.701)); #37085=CARTESIAN_POINT('',(10.65,19.,0.701)); #37086=CARTESIAN_POINT('',(11.35,19.,0.701)); #37087=CARTESIAN_POINT('',(12.65,19.,0.701)); #37088=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #37089=CARTESIAN_POINT('',(0.,19.,0.)); #37090=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #37091=CARTESIAN_POINT('',(17.25,0.,0.701)); #37092=CARTESIAN_POINT('',(17.35,0.,0.701)); #37093=CARTESIAN_POINT('',(16.65,0.,0.701)); #37094=CARTESIAN_POINT('',(17.25,0.,-0.00100000000000002)); #37095=CARTESIAN_POINT('',(17.35,0.,0.701)); #37096=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #37097=CARTESIAN_POINT('',(0.75,19.,0.701)); #37098=CARTESIAN_POINT('',(0.649999999999998,19.,0.701)); #37099=CARTESIAN_POINT('',(0.649999999999998,19.,0.701)); #37100=CARTESIAN_POINT('',(0.75,19.,-0.00100000000000002)); #37101=CARTESIAN_POINT('',(0.649999999999998,19.,0.701)); #37102=CARTESIAN_POINT('Origin',(0.65,0.,0.701)); #37103=CARTESIAN_POINT('',(0.65,0.35,0.701)); #37104=CARTESIAN_POINT('',(0.65,0.,0.701)); #37105=CARTESIAN_POINT('',(0.65,0.35,0.701)); #37106=CARTESIAN_POINT('Origin',(1.35,0.,0.701)); #37107=CARTESIAN_POINT('',(1.35,0.35,0.701)); #37108=CARTESIAN_POINT('',(1.35,0.35,0.701)); #37109=CARTESIAN_POINT('',(1.35,0.,0.701)); #37110=CARTESIAN_POINT('Origin',(0.65,0.35,0.701)); #37111=CARTESIAN_POINT('',(0.65,0.35,0.701)); #37112=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37113=CARTESIAN_POINT('Origin',(1.,0.,0.701)); #37114=CARTESIAN_POINT('Origin',(0.649999999999998,19.,0.701)); #37115=CARTESIAN_POINT('',(0.649999999999998,18.65,0.701)); #37116=CARTESIAN_POINT('',(0.649999999999998,18.65,0.701)); #37117=CARTESIAN_POINT('',(0.649999999999998,19.,0.701)); #37118=CARTESIAN_POINT('Origin',(0.649999999999998,18.65,0.701)); #37119=CARTESIAN_POINT('',(1.35,18.65,0.701)); #37120=CARTESIAN_POINT('',(1.35,18.65,0.701)); #37121=CARTESIAN_POINT('',(0.649999999999998,18.65,0.701)); #37122=CARTESIAN_POINT('Origin',(1.35,19.,0.701)); #37123=CARTESIAN_POINT('',(1.35,19.,0.701)); #37124=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37125=CARTESIAN_POINT('Origin',(1.,19.,0.701)); #37126=CARTESIAN_POINT('Origin',(2.35,0.,0.701)); #37127=CARTESIAN_POINT('',(2.35,0.35,0.701)); #37128=CARTESIAN_POINT('',(2.35,0.35,0.701)); #37129=CARTESIAN_POINT('',(2.35,0.,0.701)); #37130=CARTESIAN_POINT('Origin',(1.65,0.35,0.701)); #37131=CARTESIAN_POINT('',(1.65,0.35,0.701)); #37132=CARTESIAN_POINT('',(1.65,0.35,0.701)); #37133=CARTESIAN_POINT('',(1.65,0.35,0.701)); #37134=CARTESIAN_POINT('Origin',(1.65,0.,0.701)); #37135=CARTESIAN_POINT('',(1.65,0.,0.701)); #37136=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37137=CARTESIAN_POINT('Origin',(2.,0.,0.701)); #37138=CARTESIAN_POINT('Origin',(1.65,19.,0.701)); #37139=CARTESIAN_POINT('',(1.65,18.65,0.701)); #37140=CARTESIAN_POINT('',(1.65,18.65,0.701)); #37141=CARTESIAN_POINT('',(1.65,19.,0.701)); #37142=CARTESIAN_POINT('Origin',(1.65,18.65,0.701)); #37143=CARTESIAN_POINT('',(2.35,18.65,0.701)); #37144=CARTESIAN_POINT('',(2.35,18.65,0.701)); #37145=CARTESIAN_POINT('',(1.65,18.65,0.701)); #37146=CARTESIAN_POINT('Origin',(2.35,19.,0.701)); #37147=CARTESIAN_POINT('',(2.35,19.,0.701)); #37148=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37149=CARTESIAN_POINT('Origin',(2.,19.,0.701)); #37150=CARTESIAN_POINT('Origin',(3.35,0.,0.701)); #37151=CARTESIAN_POINT('',(3.35,0.35,0.701)); #37152=CARTESIAN_POINT('',(3.35,0.35,0.701)); #37153=CARTESIAN_POINT('',(3.35,0.,0.701)); #37154=CARTESIAN_POINT('Origin',(2.65,0.35,0.701)); #37155=CARTESIAN_POINT('',(2.65,0.35,0.701)); #37156=CARTESIAN_POINT('',(2.65,0.35,0.701)); #37157=CARTESIAN_POINT('',(2.65,0.35,0.701)); #37158=CARTESIAN_POINT('Origin',(2.65,0.,0.701)); #37159=CARTESIAN_POINT('',(2.65,0.,0.701)); #37160=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37161=CARTESIAN_POINT('Origin',(3.,0.,0.701)); #37162=CARTESIAN_POINT('Origin',(2.65,19.,0.701)); #37163=CARTESIAN_POINT('',(2.65,18.65,0.701)); #37164=CARTESIAN_POINT('',(2.65,18.65,0.701)); #37165=CARTESIAN_POINT('',(2.65,19.,0.701)); #37166=CARTESIAN_POINT('Origin',(2.65,18.65,0.701)); #37167=CARTESIAN_POINT('',(3.35,18.65,0.701)); #37168=CARTESIAN_POINT('',(3.35,18.65,0.701)); #37169=CARTESIAN_POINT('',(2.65,18.65,0.701)); #37170=CARTESIAN_POINT('Origin',(3.35,19.,0.701)); #37171=CARTESIAN_POINT('',(3.35,19.,0.701)); #37172=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37173=CARTESIAN_POINT('Origin',(3.,19.,0.701)); #37174=CARTESIAN_POINT('Origin',(4.35,0.,0.701)); #37175=CARTESIAN_POINT('',(4.35,0.35,0.701)); #37176=CARTESIAN_POINT('',(4.35,0.35,0.701)); #37177=CARTESIAN_POINT('',(4.35,0.,0.701)); #37178=CARTESIAN_POINT('Origin',(3.65,0.35,0.701)); #37179=CARTESIAN_POINT('',(3.65,0.35,0.701)); #37180=CARTESIAN_POINT('',(3.65,0.35,0.701)); #37181=CARTESIAN_POINT('',(3.65,0.35,0.701)); #37182=CARTESIAN_POINT('Origin',(3.65,0.,0.701)); #37183=CARTESIAN_POINT('',(3.65,0.,0.701)); #37184=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37185=CARTESIAN_POINT('Origin',(4.,0.,0.701)); #37186=CARTESIAN_POINT('Origin',(3.65,19.,0.701)); #37187=CARTESIAN_POINT('',(3.65,18.65,0.701)); #37188=CARTESIAN_POINT('',(3.65,18.65,0.701)); #37189=CARTESIAN_POINT('',(3.65,19.,0.701)); #37190=CARTESIAN_POINT('Origin',(3.65,18.65,0.701)); #37191=CARTESIAN_POINT('',(4.35,18.65,0.701)); #37192=CARTESIAN_POINT('',(4.35,18.65,0.701)); #37193=CARTESIAN_POINT('',(3.65,18.65,0.701)); #37194=CARTESIAN_POINT('Origin',(4.35,19.,0.701)); #37195=CARTESIAN_POINT('',(4.35,19.,0.701)); #37196=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37197=CARTESIAN_POINT('Origin',(4.,19.,0.701)); #37198=CARTESIAN_POINT('Origin',(5.35,0.,0.701)); #37199=CARTESIAN_POINT('',(5.35,0.35,0.701)); #37200=CARTESIAN_POINT('',(5.35,0.35,0.701)); #37201=CARTESIAN_POINT('',(5.35,0.,0.701)); #37202=CARTESIAN_POINT('Origin',(4.65,0.35,0.701)); #37203=CARTESIAN_POINT('',(4.65,0.35,0.701)); #37204=CARTESIAN_POINT('',(4.65,0.35,0.701)); #37205=CARTESIAN_POINT('',(4.65,0.35,0.701)); #37206=CARTESIAN_POINT('Origin',(4.65,0.,0.701)); #37207=CARTESIAN_POINT('',(4.65,0.,0.701)); #37208=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37209=CARTESIAN_POINT('Origin',(5.,0.,0.701)); #37210=CARTESIAN_POINT('Origin',(4.65,19.,0.701)); #37211=CARTESIAN_POINT('',(4.65,18.65,0.701)); #37212=CARTESIAN_POINT('',(4.65,18.65,0.701)); #37213=CARTESIAN_POINT('',(4.65,19.,0.701)); #37214=CARTESIAN_POINT('Origin',(4.65,18.65,0.701)); #37215=CARTESIAN_POINT('',(5.35,18.65,0.701)); #37216=CARTESIAN_POINT('',(5.35,18.65,0.701)); #37217=CARTESIAN_POINT('',(4.65,18.65,0.701)); #37218=CARTESIAN_POINT('Origin',(5.35,19.,0.701)); #37219=CARTESIAN_POINT('',(5.35,19.,0.701)); #37220=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37221=CARTESIAN_POINT('Origin',(5.,19.,0.701)); #37222=CARTESIAN_POINT('Origin',(6.35,0.,0.701)); #37223=CARTESIAN_POINT('',(6.35,0.35,0.701)); #37224=CARTESIAN_POINT('',(6.35,0.35,0.701)); #37225=CARTESIAN_POINT('',(6.35,0.,0.701)); #37226=CARTESIAN_POINT('Origin',(5.65,0.35,0.701)); #37227=CARTESIAN_POINT('',(5.65,0.35,0.701)); #37228=CARTESIAN_POINT('',(5.65,0.35,0.701)); #37229=CARTESIAN_POINT('',(5.65,0.35,0.701)); #37230=CARTESIAN_POINT('Origin',(5.65,0.,0.701)); #37231=CARTESIAN_POINT('',(5.65,0.,0.701)); #37232=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37233=CARTESIAN_POINT('Origin',(6.,0.,0.701)); #37234=CARTESIAN_POINT('Origin',(5.65,19.,0.701)); #37235=CARTESIAN_POINT('',(5.65,18.65,0.701)); #37236=CARTESIAN_POINT('',(5.65,18.65,0.701)); #37237=CARTESIAN_POINT('',(5.65,19.,0.701)); #37238=CARTESIAN_POINT('Origin',(5.65,18.65,0.701)); #37239=CARTESIAN_POINT('',(6.35,18.65,0.701)); #37240=CARTESIAN_POINT('',(6.35,18.65,0.701)); #37241=CARTESIAN_POINT('',(5.65,18.65,0.701)); #37242=CARTESIAN_POINT('Origin',(6.35,19.,0.701)); #37243=CARTESIAN_POINT('',(6.35,19.,0.701)); #37244=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37245=CARTESIAN_POINT('Origin',(6.,19.,0.701)); #37246=CARTESIAN_POINT('Origin',(7.35,0.,0.701)); #37247=CARTESIAN_POINT('',(7.35,0.35,0.701)); #37248=CARTESIAN_POINT('',(7.35,0.35,0.701)); #37249=CARTESIAN_POINT('',(7.35,0.,0.701)); #37250=CARTESIAN_POINT('Origin',(6.65,0.35,0.701)); #37251=CARTESIAN_POINT('',(6.65,0.35,0.701)); #37252=CARTESIAN_POINT('',(6.65,0.35,0.701)); #37253=CARTESIAN_POINT('',(6.65,0.35,0.701)); #37254=CARTESIAN_POINT('Origin',(6.65,0.,0.701)); #37255=CARTESIAN_POINT('',(6.65,0.,0.701)); #37256=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37257=CARTESIAN_POINT('Origin',(7.,0.,0.701)); #37258=CARTESIAN_POINT('Origin',(6.65,19.,0.701)); #37259=CARTESIAN_POINT('',(6.65,18.65,0.701)); #37260=CARTESIAN_POINT('',(6.65,18.65,0.701)); #37261=CARTESIAN_POINT('',(6.65,19.,0.701)); #37262=CARTESIAN_POINT('Origin',(6.65,18.65,0.701)); #37263=CARTESIAN_POINT('',(7.35,18.65,0.701)); #37264=CARTESIAN_POINT('',(7.35,18.65,0.701)); #37265=CARTESIAN_POINT('',(6.65,18.65,0.701)); #37266=CARTESIAN_POINT('Origin',(7.35,19.,0.701)); #37267=CARTESIAN_POINT('',(7.35,19.,0.701)); #37268=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37269=CARTESIAN_POINT('Origin',(7.,19.,0.701)); #37270=CARTESIAN_POINT('Origin',(8.35,0.,0.701)); #37271=CARTESIAN_POINT('',(8.35,0.35,0.701)); #37272=CARTESIAN_POINT('',(8.35,0.35,0.701)); #37273=CARTESIAN_POINT('',(8.35,0.,0.701)); #37274=CARTESIAN_POINT('Origin',(7.65,0.35,0.701)); #37275=CARTESIAN_POINT('',(7.65,0.35,0.701)); #37276=CARTESIAN_POINT('',(7.65,0.35,0.701)); #37277=CARTESIAN_POINT('',(7.65,0.35,0.701)); #37278=CARTESIAN_POINT('Origin',(7.65,0.,0.701)); #37279=CARTESIAN_POINT('',(7.65,0.,0.701)); #37280=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37281=CARTESIAN_POINT('Origin',(8.,0.,0.701)); #37282=CARTESIAN_POINT('Origin',(7.65,19.,0.701)); #37283=CARTESIAN_POINT('',(7.65,18.65,0.701)); #37284=CARTESIAN_POINT('',(7.65,18.65,0.701)); #37285=CARTESIAN_POINT('',(7.65,19.,0.701)); #37286=CARTESIAN_POINT('Origin',(7.65,18.65,0.701)); #37287=CARTESIAN_POINT('',(8.35,18.65,0.701)); #37288=CARTESIAN_POINT('',(8.35,18.65,0.701)); #37289=CARTESIAN_POINT('',(7.65,18.65,0.701)); #37290=CARTESIAN_POINT('Origin',(8.35,19.,0.701)); #37291=CARTESIAN_POINT('',(8.35,19.,0.701)); #37292=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37293=CARTESIAN_POINT('Origin',(8.,19.,0.701)); #37294=CARTESIAN_POINT('Origin',(9.35,0.,0.701)); #37295=CARTESIAN_POINT('',(9.35,0.35,0.701)); #37296=CARTESIAN_POINT('',(9.35,0.35,0.701)); #37297=CARTESIAN_POINT('',(9.35,0.,0.701)); #37298=CARTESIAN_POINT('Origin',(8.65,0.35,0.701)); #37299=CARTESIAN_POINT('',(8.65,0.35,0.701)); #37300=CARTESIAN_POINT('',(8.65,0.35,0.701)); #37301=CARTESIAN_POINT('',(8.65,0.35,0.701)); #37302=CARTESIAN_POINT('Origin',(8.65,0.,0.701)); #37303=CARTESIAN_POINT('',(8.65,0.,0.701)); #37304=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37305=CARTESIAN_POINT('Origin',(9.,0.,0.701)); #37306=CARTESIAN_POINT('Origin',(8.65,19.,0.701)); #37307=CARTESIAN_POINT('',(8.65,18.65,0.701)); #37308=CARTESIAN_POINT('',(8.65,18.65,0.701)); #37309=CARTESIAN_POINT('',(8.65,19.,0.701)); #37310=CARTESIAN_POINT('Origin',(8.65,18.65,0.701)); #37311=CARTESIAN_POINT('',(9.35,18.65,0.701)); #37312=CARTESIAN_POINT('',(9.35,18.65,0.701)); #37313=CARTESIAN_POINT('',(8.65,18.65,0.701)); #37314=CARTESIAN_POINT('Origin',(9.35,19.,0.701)); #37315=CARTESIAN_POINT('',(9.35,19.,0.701)); #37316=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37317=CARTESIAN_POINT('Origin',(9.,19.,0.701)); #37318=CARTESIAN_POINT('Origin',(10.35,0.,0.701)); #37319=CARTESIAN_POINT('',(10.35,0.35,0.701)); #37320=CARTESIAN_POINT('',(10.35,0.35,0.701)); #37321=CARTESIAN_POINT('',(10.35,0.,0.701)); #37322=CARTESIAN_POINT('Origin',(9.65,0.35,0.701)); #37323=CARTESIAN_POINT('',(9.65,0.35,0.701)); #37324=CARTESIAN_POINT('',(9.65,0.35,0.701)); #37325=CARTESIAN_POINT('',(9.65,0.35,0.701)); #37326=CARTESIAN_POINT('Origin',(9.65,0.,0.701)); #37327=CARTESIAN_POINT('',(9.65,0.,0.701)); #37328=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37329=CARTESIAN_POINT('Origin',(10.,0.,0.701)); #37330=CARTESIAN_POINT('Origin',(9.65,19.,0.701)); #37331=CARTESIAN_POINT('',(9.65,18.65,0.701)); #37332=CARTESIAN_POINT('',(9.65,18.65,0.701)); #37333=CARTESIAN_POINT('',(9.65,19.,0.701)); #37334=CARTESIAN_POINT('Origin',(9.65,18.65,0.701)); #37335=CARTESIAN_POINT('',(10.35,18.65,0.701)); #37336=CARTESIAN_POINT('',(10.35,18.65,0.701)); #37337=CARTESIAN_POINT('',(9.65,18.65,0.701)); #37338=CARTESIAN_POINT('Origin',(10.35,19.,0.701)); #37339=CARTESIAN_POINT('',(10.35,19.,0.701)); #37340=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37341=CARTESIAN_POINT('Origin',(10.,19.,0.701)); #37342=CARTESIAN_POINT('Origin',(11.35,0.,0.701)); #37343=CARTESIAN_POINT('',(11.35,0.35,0.701)); #37344=CARTESIAN_POINT('',(11.35,0.35,0.701)); #37345=CARTESIAN_POINT('',(11.35,0.,0.701)); #37346=CARTESIAN_POINT('Origin',(10.65,0.35,0.701)); #37347=CARTESIAN_POINT('',(10.65,0.35,0.701)); #37348=CARTESIAN_POINT('',(10.65,0.35,0.701)); #37349=CARTESIAN_POINT('',(10.65,0.35,0.701)); #37350=CARTESIAN_POINT('Origin',(10.65,0.,0.701)); #37351=CARTESIAN_POINT('',(10.65,0.,0.701)); #37352=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37353=CARTESIAN_POINT('Origin',(11.,0.,0.701)); #37354=CARTESIAN_POINT('Origin',(10.65,19.,0.701)); #37355=CARTESIAN_POINT('',(10.65,18.65,0.701)); #37356=CARTESIAN_POINT('',(10.65,18.65,0.701)); #37357=CARTESIAN_POINT('',(10.65,19.,0.701)); #37358=CARTESIAN_POINT('Origin',(10.65,18.65,0.701)); #37359=CARTESIAN_POINT('',(11.35,18.65,0.701)); #37360=CARTESIAN_POINT('',(11.35,18.65,0.701)); #37361=CARTESIAN_POINT('',(10.65,18.65,0.701)); #37362=CARTESIAN_POINT('Origin',(11.35,19.,0.701)); #37363=CARTESIAN_POINT('',(11.35,19.,0.701)); #37364=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37365=CARTESIAN_POINT('Origin',(11.,19.,0.701)); #37366=CARTESIAN_POINT('Origin',(13.35,0.,0.701)); #37367=CARTESIAN_POINT('',(13.35,0.35,0.701)); #37368=CARTESIAN_POINT('',(13.35,0.35,0.701)); #37369=CARTESIAN_POINT('',(13.35,0.,0.701)); #37370=CARTESIAN_POINT('Origin',(12.65,0.35,0.701)); #37371=CARTESIAN_POINT('',(12.65,0.35,0.701)); #37372=CARTESIAN_POINT('',(12.65,0.35,0.701)); #37373=CARTESIAN_POINT('',(12.65,0.35,0.701)); #37374=CARTESIAN_POINT('Origin',(12.65,0.,0.701)); #37375=CARTESIAN_POINT('',(12.65,0.,0.701)); #37376=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37377=CARTESIAN_POINT('Origin',(13.,0.,0.701)); #37378=CARTESIAN_POINT('Origin',(12.65,19.,0.701)); #37379=CARTESIAN_POINT('',(12.65,18.65,0.701)); #37380=CARTESIAN_POINT('',(12.65,18.65,0.701)); #37381=CARTESIAN_POINT('',(12.65,19.,0.701)); #37382=CARTESIAN_POINT('Origin',(12.65,18.65,0.701)); #37383=CARTESIAN_POINT('',(13.35,18.65,0.701)); #37384=CARTESIAN_POINT('',(13.35,18.65,0.701)); #37385=CARTESIAN_POINT('',(12.65,18.65,0.701)); #37386=CARTESIAN_POINT('Origin',(13.35,19.,0.701)); #37387=CARTESIAN_POINT('',(13.35,19.,0.701)); #37388=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37389=CARTESIAN_POINT('Origin',(13.,19.,0.701)); #37390=CARTESIAN_POINT('Origin',(14.35,0.,0.701)); #37391=CARTESIAN_POINT('',(14.35,0.35,0.701)); #37392=CARTESIAN_POINT('',(14.35,0.35,0.701)); #37393=CARTESIAN_POINT('',(14.35,0.,0.701)); #37394=CARTESIAN_POINT('Origin',(13.65,0.35,0.701)); #37395=CARTESIAN_POINT('',(13.65,0.35,0.701)); #37396=CARTESIAN_POINT('',(13.65,0.35,0.701)); #37397=CARTESIAN_POINT('',(13.65,0.35,0.701)); #37398=CARTESIAN_POINT('Origin',(13.65,0.,0.701)); #37399=CARTESIAN_POINT('',(13.65,0.,0.701)); #37400=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37401=CARTESIAN_POINT('Origin',(14.,0.,0.701)); #37402=CARTESIAN_POINT('Origin',(13.65,19.,0.701)); #37403=CARTESIAN_POINT('',(13.65,18.65,0.701)); #37404=CARTESIAN_POINT('',(13.65,18.65,0.701)); #37405=CARTESIAN_POINT('',(13.65,19.,0.701)); #37406=CARTESIAN_POINT('Origin',(13.65,18.65,0.701)); #37407=CARTESIAN_POINT('',(14.35,18.65,0.701)); #37408=CARTESIAN_POINT('',(14.35,18.65,0.701)); #37409=CARTESIAN_POINT('',(13.65,18.65,0.701)); #37410=CARTESIAN_POINT('Origin',(14.35,19.,0.701)); #37411=CARTESIAN_POINT('',(14.35,19.,0.701)); #37412=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37413=CARTESIAN_POINT('Origin',(14.,19.,0.701)); #37414=CARTESIAN_POINT('Origin',(15.35,0.,0.701)); #37415=CARTESIAN_POINT('',(15.35,0.35,0.701)); #37416=CARTESIAN_POINT('',(15.35,0.35,0.701)); #37417=CARTESIAN_POINT('',(15.35,0.,0.701)); #37418=CARTESIAN_POINT('Origin',(14.65,0.35,0.701)); #37419=CARTESIAN_POINT('',(14.65,0.35,0.701)); #37420=CARTESIAN_POINT('',(14.65,0.35,0.701)); #37421=CARTESIAN_POINT('',(14.65,0.35,0.701)); #37422=CARTESIAN_POINT('Origin',(14.65,0.,0.701)); #37423=CARTESIAN_POINT('',(14.65,0.,0.701)); #37424=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37425=CARTESIAN_POINT('Origin',(15.,0.,0.701)); #37426=CARTESIAN_POINT('Origin',(14.65,19.,0.701)); #37427=CARTESIAN_POINT('',(14.65,18.65,0.701)); #37428=CARTESIAN_POINT('',(14.65,18.65,0.701)); #37429=CARTESIAN_POINT('',(14.65,19.,0.701)); #37430=CARTESIAN_POINT('Origin',(14.65,18.65,0.701)); #37431=CARTESIAN_POINT('',(15.35,18.65,0.701)); #37432=CARTESIAN_POINT('',(15.35,18.65,0.701)); #37433=CARTESIAN_POINT('',(14.65,18.65,0.701)); #37434=CARTESIAN_POINT('Origin',(15.35,19.,0.701)); #37435=CARTESIAN_POINT('',(15.35,19.,0.701)); #37436=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37437=CARTESIAN_POINT('Origin',(15.,19.,0.701)); #37438=CARTESIAN_POINT('Origin',(16.35,0.,0.701)); #37439=CARTESIAN_POINT('',(16.35,0.35,0.701)); #37440=CARTESIAN_POINT('',(16.35,0.35,0.701)); #37441=CARTESIAN_POINT('',(16.35,0.,0.701)); #37442=CARTESIAN_POINT('Origin',(15.65,0.35,0.701)); #37443=CARTESIAN_POINT('',(15.65,0.35,0.701)); #37444=CARTESIAN_POINT('',(15.65,0.35,0.701)); #37445=CARTESIAN_POINT('',(15.65,0.35,0.701)); #37446=CARTESIAN_POINT('Origin',(15.65,0.,0.701)); #37447=CARTESIAN_POINT('',(15.65,0.,0.701)); #37448=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37449=CARTESIAN_POINT('Origin',(16.,0.,0.701)); #37450=CARTESIAN_POINT('Origin',(15.65,19.,0.701)); #37451=CARTESIAN_POINT('',(15.65,18.65,0.701)); #37452=CARTESIAN_POINT('',(15.65,18.65,0.701)); #37453=CARTESIAN_POINT('',(15.65,19.,0.701)); #37454=CARTESIAN_POINT('Origin',(15.65,18.65,0.701)); #37455=CARTESIAN_POINT('',(16.35,18.65,0.701)); #37456=CARTESIAN_POINT('',(16.35,18.65,0.701)); #37457=CARTESIAN_POINT('',(15.65,18.65,0.701)); #37458=CARTESIAN_POINT('Origin',(16.35,19.,0.701)); #37459=CARTESIAN_POINT('',(16.35,19.,0.701)); #37460=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37461=CARTESIAN_POINT('Origin',(16.,19.,0.701)); #37462=CARTESIAN_POINT('Origin',(17.35,0.,0.701)); #37463=CARTESIAN_POINT('',(17.35,0.35,0.701)); #37464=CARTESIAN_POINT('',(17.35,0.35,0.701)); #37465=CARTESIAN_POINT('',(17.35,0.,0.701)); #37466=CARTESIAN_POINT('Origin',(16.65,0.35,0.701)); #37467=CARTESIAN_POINT('',(16.65,0.35,0.701)); #37468=CARTESIAN_POINT('',(16.65,0.35,0.701)); #37469=CARTESIAN_POINT('',(16.65,0.35,0.701)); #37470=CARTESIAN_POINT('Origin',(16.65,0.,0.701)); #37471=CARTESIAN_POINT('',(16.65,0.,0.701)); #37472=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37473=CARTESIAN_POINT('Origin',(17.,0.,0.701)); #37474=CARTESIAN_POINT('Origin',(16.65,19.,0.701)); #37475=CARTESIAN_POINT('',(16.65,18.65,0.701)); #37476=CARTESIAN_POINT('',(16.65,18.65,0.701)); #37477=CARTESIAN_POINT('',(16.65,19.,0.701)); #37478=CARTESIAN_POINT('Origin',(16.65,18.65,0.701)); #37479=CARTESIAN_POINT('',(17.35,18.65,0.701)); #37480=CARTESIAN_POINT('',(17.35,18.65,0.701)); #37481=CARTESIAN_POINT('',(16.65,18.65,0.701)); #37482=CARTESIAN_POINT('Origin',(17.35,19.,0.701)); #37483=CARTESIAN_POINT('',(17.35,19.,0.701)); #37484=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37485=CARTESIAN_POINT('Origin',(17.,19.,0.701)); #37486=CARTESIAN_POINT('Origin',(17.,19.,-0.00100000000000002)); #37487=CARTESIAN_POINT('Origin',(17.,0.,-0.00100000000000002)); #37488=CARTESIAN_POINT('Origin',(16.,19.,-0.00100000000000002)); #37489=CARTESIAN_POINT('Origin',(16.,0.,-0.00100000000000002)); #37490=CARTESIAN_POINT('Origin',(15.,19.,-0.00100000000000002)); #37491=CARTESIAN_POINT('Origin',(15.,0.,-0.00100000000000002)); #37492=CARTESIAN_POINT('Origin',(14.,19.,-0.00100000000000002)); #37493=CARTESIAN_POINT('Origin',(14.,0.,-0.00100000000000002)); #37494=CARTESIAN_POINT('Origin',(13.,19.,-0.00100000000000002)); #37495=CARTESIAN_POINT('Origin',(13.,0.,-0.00100000000000002)); #37496=CARTESIAN_POINT('Origin',(11.,19.,-0.00100000000000002)); #37497=CARTESIAN_POINT('Origin',(11.,0.,-0.00100000000000002)); #37498=CARTESIAN_POINT('Origin',(10.,19.,-0.00100000000000002)); #37499=CARTESIAN_POINT('Origin',(10.,0.,-0.00100000000000002)); #37500=CARTESIAN_POINT('Origin',(9.,19.,-0.00100000000000002)); #37501=CARTESIAN_POINT('Origin',(9.,0.,-0.00100000000000002)); #37502=CARTESIAN_POINT('Origin',(8.,19.,-0.00100000000000002)); #37503=CARTESIAN_POINT('Origin',(8.,0.,-0.00100000000000002)); #37504=CARTESIAN_POINT('Origin',(7.,19.,-0.00100000000000002)); #37505=CARTESIAN_POINT('Origin',(7.,0.,-0.00100000000000002)); #37506=CARTESIAN_POINT('Origin',(6.,19.,-0.00100000000000002)); #37507=CARTESIAN_POINT('Origin',(6.,0.,-0.00100000000000002)); #37508=CARTESIAN_POINT('Origin',(5.,19.,-0.00100000000000002)); #37509=CARTESIAN_POINT('Origin',(5.,0.,-0.00100000000000002)); #37510=CARTESIAN_POINT('Origin',(4.,19.,-0.00100000000000002)); #37511=CARTESIAN_POINT('Origin',(4.,0.,-0.00100000000000002)); #37512=CARTESIAN_POINT('Origin',(3.,19.,-0.00100000000000002)); #37513=CARTESIAN_POINT('Origin',(3.,0.,-0.00100000000000002)); #37514=CARTESIAN_POINT('Origin',(2.,19.,-0.00100000000000002)); #37515=CARTESIAN_POINT('Origin',(2.,0.,-0.00100000000000002)); #37516=CARTESIAN_POINT('Origin',(1.,19.,-0.00100000000000002)); #37517=CARTESIAN_POINT('Origin',(1.,0.,-0.00100000000000002)); #37518=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37519=CARTESIAN_POINT('',(0.15,18.35,0.701)); #37520=CARTESIAN_POINT('',(0.150000000000002,0.65,0.701)); #37521=CARTESIAN_POINT('',(0.15,18.35,0.701)); #37522=CARTESIAN_POINT('',(17.85,0.65,0.701)); #37523=CARTESIAN_POINT('',(0.150000000000002,0.65,0.701)); #37524=CARTESIAN_POINT('',(17.85,18.35,0.701)); #37525=CARTESIAN_POINT('',(17.85,18.35,0.701)); #37526=CARTESIAN_POINT('',(0.15,18.35,0.701)); #37527=CARTESIAN_POINT('',(0.750000000000002,1.25,0.701)); #37528=CARTESIAN_POINT('',(17.25,1.25,0.701)); #37529=CARTESIAN_POINT('',(0.750000000000002,1.25,0.701)); #37530=CARTESIAN_POINT('',(0.75,17.75,0.701)); #37531=CARTESIAN_POINT('',(0.75,17.75,0.701)); #37532=CARTESIAN_POINT('',(17.25,17.75,0.701)); #37533=CARTESIAN_POINT('',(0.75,17.75,0.701)); #37534=CARTESIAN_POINT('',(17.25,17.75,0.701)); #37535=CARTESIAN_POINT('Origin',(0.15,18.35,0.701)); #37536=CARTESIAN_POINT('',(0.150000000000002,0.65,0.701)); #37537=CARTESIAN_POINT('',(0.15,18.35,0.701)); #37538=CARTESIAN_POINT('Origin',(0.150000000000002,0.65,0.701)); #37539=CARTESIAN_POINT('',(17.85,0.65,0.701)); #37540=CARTESIAN_POINT('Origin',(17.85,18.35,0.701)); #37541=CARTESIAN_POINT('',(17.85,18.35,0.701)); #37542=CARTESIAN_POINT('Origin',(0.15,18.35,0.701)); #37543=CARTESIAN_POINT('Origin',(0.75,17.75,0.701)); #37544=CARTESIAN_POINT('',(0.75,17.75,0.7)); #37545=CARTESIAN_POINT('',(0.750000000000002,1.25,0.7)); #37546=CARTESIAN_POINT('',(0.75,17.75,0.7)); #37547=CARTESIAN_POINT('',(0.75,17.75,0.701)); #37548=CARTESIAN_POINT('',(0.750000000000002,1.25,0.701)); #37549=CARTESIAN_POINT('Origin',(0.750000000000002,1.25,0.701)); #37550=CARTESIAN_POINT('',(17.25,1.25,0.7)); #37551=CARTESIAN_POINT('',(0.750000000000002,1.25,0.7)); #37552=CARTESIAN_POINT('',(17.25,1.25,0.701)); #37553=CARTESIAN_POINT('Origin',(17.25,17.75,0.701)); #37554=CARTESIAN_POINT('',(17.25,17.75,0.7)); #37555=CARTESIAN_POINT('',(17.25,17.75,0.7)); #37556=CARTESIAN_POINT('',(17.25,17.75,0.701)); #37557=CARTESIAN_POINT('Origin',(0.75,17.75,0.701)); #37558=CARTESIAN_POINT('',(0.75,17.75,0.7)); #37559=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #37560=CARTESIAN_POINT('Origin',(18.5,0.,0.)); #37561=CARTESIAN_POINT('Origin',(18.5,3.55,9.999)); #37562=CARTESIAN_POINT('',(18.5,3.3,0.701)); #37563=CARTESIAN_POINT('',(18.5,3.8,0.701)); #37564=CARTESIAN_POINT('Origin',(18.5,3.55,0.701)); #37565=CARTESIAN_POINT('',(18.5,3.3,0.699999999999999)); #37566=CARTESIAN_POINT('',(18.5,3.3,9.999)); #37567=CARTESIAN_POINT('',(18.5,3.8,0.699999999999999)); #37568=CARTESIAN_POINT('Origin',(18.5,3.55,0.699999999999999)); #37569=CARTESIAN_POINT('',(18.5,3.8,9.999)); #37570=CARTESIAN_POINT('Origin',(18.5,3.9,0.701)); #37571=CARTESIAN_POINT('',(18.5,3.9,0.701)); #37572=CARTESIAN_POINT('',(18.5,3.9,0.701)); #37573=CARTESIAN_POINT('',(18.5,3.9,0.7)); #37574=CARTESIAN_POINT('',(18.5,3.9,0.7)); #37575=CARTESIAN_POINT('',(18.5,3.9,0.701)); #37576=CARTESIAN_POINT('Origin',(18.5,3.9,0.701)); #37577=CARTESIAN_POINT('',(18.5,3.2,0.7)); #37578=CARTESIAN_POINT('',(18.5,3.9,0.7)); #37579=CARTESIAN_POINT('',(18.5,3.2,0.701)); #37580=CARTESIAN_POINT('',(18.5,3.9,0.701)); #37581=CARTESIAN_POINT('',(18.5,3.2,0.701)); #37582=CARTESIAN_POINT('Origin',(18.5,3.9,0.701)); #37583=CARTESIAN_POINT('',(18.15,3.9,0.7)); #37584=CARTESIAN_POINT('',(18.5,3.9,0.7)); #37585=CARTESIAN_POINT('',(18.15,3.9,0.701)); #37586=CARTESIAN_POINT('',(18.15,3.9,0.701)); #37587=CARTESIAN_POINT('',(18.5,3.9,0.701)); #37588=CARTESIAN_POINT('Origin',(18.15,3.9,0.701)); #37589=CARTESIAN_POINT('',(18.15,3.2,0.7)); #37590=CARTESIAN_POINT('',(18.15,3.9,0.7)); #37591=CARTESIAN_POINT('',(18.15,3.2,0.701)); #37592=CARTESIAN_POINT('',(18.15,3.2,0.701)); #37593=CARTESIAN_POINT('',(18.15,3.9,0.701)); #37594=CARTESIAN_POINT('Origin',(18.5,3.2,0.701)); #37595=CARTESIAN_POINT('',(18.5,3.2,0.7)); #37596=CARTESIAN_POINT('',(18.5,3.2,0.701)); #37597=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37598=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #37599=CARTESIAN_POINT('Origin',(18.5,2.55,9.999)); #37600=CARTESIAN_POINT('',(18.5,2.3,0.701)); #37601=CARTESIAN_POINT('',(18.5,2.8,0.701)); #37602=CARTESIAN_POINT('Origin',(18.5,2.55,0.701)); #37603=CARTESIAN_POINT('',(18.5,2.3,0.699999999999999)); #37604=CARTESIAN_POINT('',(18.5,2.3,9.999)); #37605=CARTESIAN_POINT('',(18.5,2.8,0.699999999999999)); #37606=CARTESIAN_POINT('Origin',(18.5,2.55,0.699999999999999)); #37607=CARTESIAN_POINT('',(18.5,2.8,9.999)); #37608=CARTESIAN_POINT('Origin',(18.5,2.9,0.701)); #37609=CARTESIAN_POINT('',(18.5,2.9,0.701)); #37610=CARTESIAN_POINT('',(18.5,2.9,0.701)); #37611=CARTESIAN_POINT('',(18.5,2.9,0.7)); #37612=CARTESIAN_POINT('',(18.5,2.9,0.7)); #37613=CARTESIAN_POINT('',(18.5,2.9,0.701)); #37614=CARTESIAN_POINT('Origin',(18.5,2.9,0.701)); #37615=CARTESIAN_POINT('',(18.5,2.2,0.7)); #37616=CARTESIAN_POINT('',(18.5,2.9,0.7)); #37617=CARTESIAN_POINT('',(18.5,2.2,0.701)); #37618=CARTESIAN_POINT('',(18.5,2.9,0.701)); #37619=CARTESIAN_POINT('',(18.5,2.2,0.701)); #37620=CARTESIAN_POINT('Origin',(18.5,2.9,0.701)); #37621=CARTESIAN_POINT('',(18.15,2.9,0.7)); #37622=CARTESIAN_POINT('',(18.5,2.9,0.7)); #37623=CARTESIAN_POINT('',(18.15,2.9,0.701)); #37624=CARTESIAN_POINT('',(18.15,2.9,0.701)); #37625=CARTESIAN_POINT('',(18.5,2.9,0.701)); #37626=CARTESIAN_POINT('Origin',(18.15,2.9,0.701)); #37627=CARTESIAN_POINT('',(18.15,2.2,0.7)); #37628=CARTESIAN_POINT('',(18.15,2.9,0.7)); #37629=CARTESIAN_POINT('',(18.15,2.2,0.701)); #37630=CARTESIAN_POINT('',(18.15,2.2,0.701)); #37631=CARTESIAN_POINT('',(18.15,2.9,0.701)); #37632=CARTESIAN_POINT('Origin',(18.5,2.2,0.701)); #37633=CARTESIAN_POINT('',(18.5,2.2,0.7)); #37634=CARTESIAN_POINT('',(18.5,2.2,0.701)); #37635=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37636=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #37637=CARTESIAN_POINT('Origin',(18.5,11.05,9.999)); #37638=CARTESIAN_POINT('',(18.5,10.8,0.701)); #37639=CARTESIAN_POINT('',(18.5,11.3,0.701)); #37640=CARTESIAN_POINT('Origin',(18.5,11.05,0.701)); #37641=CARTESIAN_POINT('',(18.5,10.8,0.699999999999999)); #37642=CARTESIAN_POINT('',(18.5,10.8,9.999)); #37643=CARTESIAN_POINT('',(18.5,11.3,0.699999999999999)); #37644=CARTESIAN_POINT('Origin',(18.5,11.05,0.699999999999999)); #37645=CARTESIAN_POINT('',(18.5,11.3,9.999)); #37646=CARTESIAN_POINT('Origin',(18.5,11.4,0.701)); #37647=CARTESIAN_POINT('',(18.5,11.4,0.701)); #37648=CARTESIAN_POINT('',(18.5,11.4,0.701)); #37649=CARTESIAN_POINT('',(18.5,11.4,0.7)); #37650=CARTESIAN_POINT('',(18.5,11.4,0.7)); #37651=CARTESIAN_POINT('',(18.5,11.4,0.701)); #37652=CARTESIAN_POINT('Origin',(18.5,11.4,0.701)); #37653=CARTESIAN_POINT('',(18.5,10.7,0.7)); #37654=CARTESIAN_POINT('',(18.5,11.4,0.7)); #37655=CARTESIAN_POINT('',(18.5,10.7,0.701)); #37656=CARTESIAN_POINT('',(18.5,11.4,0.701)); #37657=CARTESIAN_POINT('',(18.5,10.7,0.701)); #37658=CARTESIAN_POINT('Origin',(18.5,11.4,0.701)); #37659=CARTESIAN_POINT('',(18.15,11.4,0.7)); #37660=CARTESIAN_POINT('',(18.5,11.4,0.7)); #37661=CARTESIAN_POINT('',(18.15,11.4,0.701)); #37662=CARTESIAN_POINT('',(18.15,11.4,0.701)); #37663=CARTESIAN_POINT('',(18.5,11.4,0.701)); #37664=CARTESIAN_POINT('Origin',(18.15,11.4,0.701)); #37665=CARTESIAN_POINT('',(18.15,10.7,0.7)); #37666=CARTESIAN_POINT('',(18.15,11.4,0.7)); #37667=CARTESIAN_POINT('',(18.15,10.7,0.701)); #37668=CARTESIAN_POINT('',(18.15,10.7,0.701)); #37669=CARTESIAN_POINT('',(18.15,11.4,0.701)); #37670=CARTESIAN_POINT('Origin',(18.5,10.7,0.701)); #37671=CARTESIAN_POINT('',(18.5,10.7,0.7)); #37672=CARTESIAN_POINT('',(18.5,10.7,0.701)); #37673=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37674=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #37675=CARTESIAN_POINT('Origin',(18.5,10.05,9.999)); #37676=CARTESIAN_POINT('',(18.5,9.8,0.701)); #37677=CARTESIAN_POINT('',(18.5,10.3,0.701)); #37678=CARTESIAN_POINT('Origin',(18.5,10.05,0.701)); #37679=CARTESIAN_POINT('',(18.5,9.8,0.699999999999999)); #37680=CARTESIAN_POINT('',(18.5,9.8,9.999)); #37681=CARTESIAN_POINT('',(18.5,10.3,0.699999999999999)); #37682=CARTESIAN_POINT('Origin',(18.5,10.05,0.699999999999999)); #37683=CARTESIAN_POINT('',(18.5,10.3,9.999)); #37684=CARTESIAN_POINT('Origin',(18.5,10.4,0.701)); #37685=CARTESIAN_POINT('',(18.5,10.4,0.701)); #37686=CARTESIAN_POINT('',(18.5,10.4,0.701)); #37687=CARTESIAN_POINT('',(18.5,10.4,0.7)); #37688=CARTESIAN_POINT('',(18.5,10.4,0.7)); #37689=CARTESIAN_POINT('',(18.5,10.4,0.701)); #37690=CARTESIAN_POINT('Origin',(18.5,10.4,0.701)); #37691=CARTESIAN_POINT('',(18.5,9.7,0.7)); #37692=CARTESIAN_POINT('',(18.5,10.4,0.7)); #37693=CARTESIAN_POINT('',(18.5,9.7,0.701)); #37694=CARTESIAN_POINT('',(18.5,10.4,0.701)); #37695=CARTESIAN_POINT('',(18.5,9.7,0.701)); #37696=CARTESIAN_POINT('Origin',(18.5,10.4,0.701)); #37697=CARTESIAN_POINT('',(18.15,10.4,0.7)); #37698=CARTESIAN_POINT('',(18.5,10.4,0.7)); #37699=CARTESIAN_POINT('',(18.15,10.4,0.701)); #37700=CARTESIAN_POINT('',(18.15,10.4,0.701)); #37701=CARTESIAN_POINT('',(18.5,10.4,0.701)); #37702=CARTESIAN_POINT('Origin',(18.15,10.4,0.701)); #37703=CARTESIAN_POINT('',(18.15,9.7,0.7)); #37704=CARTESIAN_POINT('',(18.15,10.4,0.7)); #37705=CARTESIAN_POINT('',(18.15,9.7,0.701)); #37706=CARTESIAN_POINT('',(18.15,9.7,0.701)); #37707=CARTESIAN_POINT('',(18.15,10.4,0.701)); #37708=CARTESIAN_POINT('Origin',(18.5,9.7,0.701)); #37709=CARTESIAN_POINT('',(18.5,9.7,0.7)); #37710=CARTESIAN_POINT('',(18.5,9.7,0.701)); #37711=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37712=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #37713=CARTESIAN_POINT('Origin',(18.5,12.05,9.999)); #37714=CARTESIAN_POINT('',(18.5,12.3,0.701)); #37715=CARTESIAN_POINT('',(18.5,11.8,0.701)); #37716=CARTESIAN_POINT('Origin',(18.5,12.05,0.701)); #37717=CARTESIAN_POINT('',(18.5,11.8,0.699999999999999)); #37718=CARTESIAN_POINT('',(18.5,11.8,9.999)); #37719=CARTESIAN_POINT('',(18.5,12.3,0.699999999999999)); #37720=CARTESIAN_POINT('Origin',(18.5,12.05,0.699999999999999)); #37721=CARTESIAN_POINT('',(18.5,12.3,9.999)); #37722=CARTESIAN_POINT('Origin',(18.5,11.7,0.701)); #37723=CARTESIAN_POINT('',(18.5,12.4,0.701)); #37724=CARTESIAN_POINT('',(18.5,11.7,0.701)); #37725=CARTESIAN_POINT('',(18.5,12.4,0.7)); #37726=CARTESIAN_POINT('',(18.5,11.7,0.7)); #37727=CARTESIAN_POINT('',(18.5,12.4,0.701)); #37728=CARTESIAN_POINT('Origin',(18.5,11.7,0.701)); #37729=CARTESIAN_POINT('',(18.5,11.7,0.7)); #37730=CARTESIAN_POINT('',(18.5,11.7,0.7)); #37731=CARTESIAN_POINT('',(18.5,11.7,0.701)); #37732=CARTESIAN_POINT('',(18.5,11.7,0.701)); #37733=CARTESIAN_POINT('',(18.5,11.7,0.701)); #37734=CARTESIAN_POINT('Origin',(18.5,12.4,0.701)); #37735=CARTESIAN_POINT('',(18.15,12.4,0.7)); #37736=CARTESIAN_POINT('',(18.5,12.4,0.7)); #37737=CARTESIAN_POINT('',(18.15,12.4,0.701)); #37738=CARTESIAN_POINT('',(18.15,12.4,0.701)); #37739=CARTESIAN_POINT('',(18.5,12.4,0.701)); #37740=CARTESIAN_POINT('Origin',(18.15,11.7,0.701)); #37741=CARTESIAN_POINT('',(18.15,11.7,0.7)); #37742=CARTESIAN_POINT('',(18.15,11.7,0.7)); #37743=CARTESIAN_POINT('',(18.15,11.7,0.701)); #37744=CARTESIAN_POINT('',(18.15,11.7,0.701)); #37745=CARTESIAN_POINT('',(18.15,11.7,0.701)); #37746=CARTESIAN_POINT('Origin',(18.5,11.7,0.701)); #37747=CARTESIAN_POINT('',(18.5,11.7,0.7)); #37748=CARTESIAN_POINT('',(18.5,11.7,0.701)); #37749=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37750=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #37751=CARTESIAN_POINT('Origin',(18.5,15.45,9.999)); #37752=CARTESIAN_POINT('',(18.5,15.7,0.701)); #37753=CARTESIAN_POINT('',(18.5,15.2,0.701)); #37754=CARTESIAN_POINT('Origin',(18.5,15.45,0.701)); #37755=CARTESIAN_POINT('',(18.5,15.2,0.699999999999999)); #37756=CARTESIAN_POINT('',(18.5,15.2,9.999)); #37757=CARTESIAN_POINT('',(18.5,15.7,0.699999999999999)); #37758=CARTESIAN_POINT('Origin',(18.5,15.45,0.699999999999999)); #37759=CARTESIAN_POINT('',(18.5,15.7,9.999)); #37760=CARTESIAN_POINT('Origin',(18.5,15.1,0.701)); #37761=CARTESIAN_POINT('',(18.5,15.8,0.701)); #37762=CARTESIAN_POINT('',(18.5,15.1,0.701)); #37763=CARTESIAN_POINT('',(18.5,15.8,0.7)); #37764=CARTESIAN_POINT('',(18.5,15.1,0.7)); #37765=CARTESIAN_POINT('',(18.5,15.8,0.701)); #37766=CARTESIAN_POINT('Origin',(18.5,15.1,0.701)); #37767=CARTESIAN_POINT('',(18.5,15.1,0.7)); #37768=CARTESIAN_POINT('',(18.5,15.1,0.7)); #37769=CARTESIAN_POINT('',(18.5,15.1,0.701)); #37770=CARTESIAN_POINT('',(18.5,15.1,0.701)); #37771=CARTESIAN_POINT('',(18.5,15.1,0.701)); #37772=CARTESIAN_POINT('Origin',(18.5,15.8,0.701)); #37773=CARTESIAN_POINT('',(18.15,15.8,0.7)); #37774=CARTESIAN_POINT('',(18.5,15.8,0.7)); #37775=CARTESIAN_POINT('',(18.15,15.8,0.701)); #37776=CARTESIAN_POINT('',(18.15,15.8,0.701)); #37777=CARTESIAN_POINT('',(18.5,15.8,0.701)); #37778=CARTESIAN_POINT('Origin',(18.15,15.1,0.701)); #37779=CARTESIAN_POINT('',(18.15,15.1,0.7)); #37780=CARTESIAN_POINT('',(18.15,15.1,0.7)); #37781=CARTESIAN_POINT('',(18.15,15.1,0.701)); #37782=CARTESIAN_POINT('',(18.15,15.1,0.701)); #37783=CARTESIAN_POINT('',(18.15,15.1,0.701)); #37784=CARTESIAN_POINT('Origin',(18.5,15.1,0.701)); #37785=CARTESIAN_POINT('',(18.5,15.1,0.7)); #37786=CARTESIAN_POINT('',(18.5,15.1,0.701)); #37787=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37788=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #37789=CARTESIAN_POINT('Origin',(18.5,16.45,9.999)); #37790=CARTESIAN_POINT('',(18.5,16.2,0.701)); #37791=CARTESIAN_POINT('',(18.5,16.7,0.701)); #37792=CARTESIAN_POINT('Origin',(18.5,16.45,0.701)); #37793=CARTESIAN_POINT('',(18.5,16.2,0.699999999999999)); #37794=CARTESIAN_POINT('',(18.5,16.2,9.999)); #37795=CARTESIAN_POINT('',(18.5,16.7,0.699999999999999)); #37796=CARTESIAN_POINT('Origin',(18.5,16.45,0.699999999999999)); #37797=CARTESIAN_POINT('',(18.5,16.7,9.999)); #37798=CARTESIAN_POINT('Origin',(18.5,16.8,0.701)); #37799=CARTESIAN_POINT('',(18.5,16.8,0.701)); #37800=CARTESIAN_POINT('',(18.5,16.8,0.701)); #37801=CARTESIAN_POINT('',(18.5,16.8,0.7)); #37802=CARTESIAN_POINT('',(18.5,16.8,0.7)); #37803=CARTESIAN_POINT('',(18.5,16.8,0.701)); #37804=CARTESIAN_POINT('Origin',(18.5,16.8,0.701)); #37805=CARTESIAN_POINT('',(18.5,16.1,0.7)); #37806=CARTESIAN_POINT('',(18.5,16.8,0.7)); #37807=CARTESIAN_POINT('',(18.5,16.1,0.701)); #37808=CARTESIAN_POINT('',(18.5,16.8,0.701)); #37809=CARTESIAN_POINT('',(18.5,16.1,0.701)); #37810=CARTESIAN_POINT('Origin',(18.5,16.8,0.701)); #37811=CARTESIAN_POINT('',(18.15,16.8,0.7)); #37812=CARTESIAN_POINT('',(18.5,16.8,0.7)); #37813=CARTESIAN_POINT('',(18.15,16.8,0.701)); #37814=CARTESIAN_POINT('',(18.15,16.8,0.701)); #37815=CARTESIAN_POINT('',(18.5,16.8,0.701)); #37816=CARTESIAN_POINT('Origin',(18.15,16.8,0.701)); #37817=CARTESIAN_POINT('',(18.15,16.1,0.7)); #37818=CARTESIAN_POINT('',(18.15,16.8,0.7)); #37819=CARTESIAN_POINT('',(18.15,16.1,0.701)); #37820=CARTESIAN_POINT('',(18.15,16.1,0.701)); #37821=CARTESIAN_POINT('',(18.15,16.8,0.701)); #37822=CARTESIAN_POINT('Origin',(18.5,16.1,0.701)); #37823=CARTESIAN_POINT('',(18.5,16.1,0.7)); #37824=CARTESIAN_POINT('',(18.5,16.1,0.701)); #37825=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37826=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #37827=CARTESIAN_POINT('Origin',(18.5,14.45,9.999)); #37828=CARTESIAN_POINT('',(18.5,14.2,0.701)); #37829=CARTESIAN_POINT('',(18.5,14.7,0.701)); #37830=CARTESIAN_POINT('Origin',(18.5,14.45,0.701)); #37831=CARTESIAN_POINT('',(18.5,14.2,0.699999999999999)); #37832=CARTESIAN_POINT('',(18.5,14.2,9.999)); #37833=CARTESIAN_POINT('',(18.5,14.7,0.699999999999999)); #37834=CARTESIAN_POINT('Origin',(18.5,14.45,0.699999999999999)); #37835=CARTESIAN_POINT('',(18.5,14.7,9.999)); #37836=CARTESIAN_POINT('Origin',(18.5,14.8,0.701)); #37837=CARTESIAN_POINT('',(18.5,14.8,0.701)); #37838=CARTESIAN_POINT('',(18.5,14.8,0.701)); #37839=CARTESIAN_POINT('',(18.5,14.8,0.7)); #37840=CARTESIAN_POINT('',(18.5,14.8,0.7)); #37841=CARTESIAN_POINT('',(18.5,14.8,0.701)); #37842=CARTESIAN_POINT('Origin',(18.5,14.8,0.701)); #37843=CARTESIAN_POINT('',(18.5,14.1,0.7)); #37844=CARTESIAN_POINT('',(18.5,14.8,0.7)); #37845=CARTESIAN_POINT('',(18.5,14.1,0.701)); #37846=CARTESIAN_POINT('',(18.5,14.8,0.701)); #37847=CARTESIAN_POINT('',(18.5,14.1,0.701)); #37848=CARTESIAN_POINT('Origin',(18.5,14.8,0.701)); #37849=CARTESIAN_POINT('',(18.15,14.8,0.7)); #37850=CARTESIAN_POINT('',(18.5,14.8,0.7)); #37851=CARTESIAN_POINT('',(18.15,14.8,0.701)); #37852=CARTESIAN_POINT('',(18.15,14.8,0.701)); #37853=CARTESIAN_POINT('',(18.5,14.8,0.701)); #37854=CARTESIAN_POINT('Origin',(18.15,14.8,0.701)); #37855=CARTESIAN_POINT('',(18.15,14.1,0.7)); #37856=CARTESIAN_POINT('',(18.15,14.8,0.7)); #37857=CARTESIAN_POINT('',(18.15,14.1,0.701)); #37858=CARTESIAN_POINT('',(18.15,14.1,0.701)); #37859=CARTESIAN_POINT('',(18.15,14.8,0.701)); #37860=CARTESIAN_POINT('Origin',(18.5,14.1,0.701)); #37861=CARTESIAN_POINT('',(18.5,14.1,0.7)); #37862=CARTESIAN_POINT('',(18.5,14.1,0.701)); #37863=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #37864=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #37865=CARTESIAN_POINT('',(0.,0.,0.)); #37866=CARTESIAN_POINT('Origin',(15.5968124568916,11.0463799270573,2.77555756156289E-16)); #37867=CARTESIAN_POINT('',(15.5968124568916,11.0463799270573,2.77555756156289E-16)); #37868=CARTESIAN_POINT('',(14.9885650849761,11.04610731,2.77555756156289E-16)); #37869=CARTESIAN_POINT('',(7.7959322964635,11.0428835650647,-3.08148791101958E-32)); #37870=CARTESIAN_POINT('',(15.5968124568916,11.0463799270573,0.0200000000000003)); #37871=CARTESIAN_POINT('',(15.5968124568916,11.0463799270573,2.77555756156289E-16)); #37872=CARTESIAN_POINT('',(14.9885650849761,11.04610731,0.0200000000000003)); #37873=CARTESIAN_POINT('',(14.9885650849761,11.04610731,0.0200000000000003)); #37874=CARTESIAN_POINT('',(14.9885650849761,11.04610731,2.77555756156289E-16)); #37875=CARTESIAN_POINT('Origin',(15.5857635521859,11.2468992049005,2.77555756156289E-16)); #37876=CARTESIAN_POINT('',(15.7848770082728,11.2207479011637,2.77555756156289E-16)); #37877=CARTESIAN_POINT('Origin',(15.5857635521859,11.2468992049005,6.34083452687291E-17)); #37878=CARTESIAN_POINT('',(15.7848770082728,11.2207479011637,0.0200000000000003)); #37879=CARTESIAN_POINT('',(15.7848770082728,11.2207479011637,2.77555756156289E-16)); #37880=CARTESIAN_POINT('Origin',(15.5857635521859,11.2468992049005,0.0200000000000003)); #37881=CARTESIAN_POINT('Origin',(15.7864960385135,11.2892159236365,2.77555756156289E-16)); #37882=CARTESIAN_POINT('',(15.7864960385135,11.2892159236365,2.77555756156289E-16)); #37883=CARTESIAN_POINT('',(15.6486842094682,5.46121890998549,0.)); #37884=CARTESIAN_POINT('',(15.7864960385135,11.2892159236365,0.0200000000000003)); #37885=CARTESIAN_POINT('',(15.7864960385135,11.2892159236365,2.77555756156289E-16)); #37886=CARTESIAN_POINT('',(15.7848770082728,11.2207479011637,0.0200000000000003)); #37887=CARTESIAN_POINT('Origin',(-460.812363319358,13.5052281729783,2.77555756156289E-16)); #37888=CARTESIAN_POINT('',(15.786343921334,15.7537183362963,5.55111512312578E-16)); #37889=CARTESIAN_POINT('Origin',(-460.812363319358,13.5052281729783,7.61404681702881E-17)); #37890=CARTESIAN_POINT('',(15.786343921334,15.7537183362963,0.0200000000000006)); #37891=CARTESIAN_POINT('',(15.786343921334,15.7537183362963,5.55111512312578E-16)); #37892=CARTESIAN_POINT('Origin',(-460.812363319358,13.5052281729783,0.0200000000000003)); #37893=CARTESIAN_POINT('Origin',(15.5858278391495,15.745434642693,5.55111512312578E-16)); #37894=CARTESIAN_POINT('',(15.5915955764015,15.94603886,5.55111512312578E-16)); #37895=CARTESIAN_POINT('Origin',(15.5858278391495,15.745434642693,8.87704191209486E-17)); #37896=CARTESIAN_POINT('',(15.5915955764015,15.94603886,0.0200000000000006)); #37897=CARTESIAN_POINT('',(15.5915955764015,15.94603886,5.55111512312578E-16)); #37898=CARTESIAN_POINT('Origin',(15.5858278391495,15.745434642693,0.0200000000000006)); #37899=CARTESIAN_POINT('Origin',(11.0812965189289,15.94603886,5.55111512312578E-16)); #37900=CARTESIAN_POINT('',(11.0812965189289,15.94603886,5.55111512312578E-16)); #37901=CARTESIAN_POINT('',(5.54064825946448,15.94603886,0.)); #37902=CARTESIAN_POINT('',(11.0812965189289,15.94603886,0.0200000000000006)); #37903=CARTESIAN_POINT('',(11.0812965189289,15.94603886,5.55111512312578E-16)); #37904=CARTESIAN_POINT('',(15.5915955764015,15.94603886,0.0200000000000006)); #37905=CARTESIAN_POINT('Origin',(11.0871184502412,15.7454781159999,5.55111512312578E-16)); #37906=CARTESIAN_POINT('',(10.8866426870359,15.7537228285578,5.55111512312578E-16)); #37907=CARTESIAN_POINT('Origin',(11.0871184502412,15.7454781159999,8.87706642169881E-17)); #37908=CARTESIAN_POINT('',(10.8866426870359,15.7537228285578,0.0200000000000006)); #37909=CARTESIAN_POINT('',(10.8866426870359,15.7537228285578,5.55111512312578E-16)); #37910=CARTESIAN_POINT('Origin',(11.0871184502412,15.7454781159999,0.0200000000000006)); #37911=CARTESIAN_POINT('Origin',(488.275138366624,13.5052008853734,2.77555756156289E-16)); #37912=CARTESIAN_POINT('',(10.8865109947249,11.2848148551743,2.77555756156289E-16)); #37913=CARTESIAN_POINT('Origin',(488.275138366624,13.5052008853734,7.61403143268305E-17)); #37914=CARTESIAN_POINT('',(10.8865109947249,11.2848148551743,0.0200000000000003)); #37915=CARTESIAN_POINT('',(10.8865109947249,11.2848148551743,2.77555756156289E-16)); #37916=CARTESIAN_POINT('Origin',(488.275138366624,13.5052008853734,0.0200000000000003)); #37917=CARTESIAN_POINT('Origin',(11.0898201987263,11.2517773742059,2.77555756156289E-16)); #37918=CARTESIAN_POINT('',(10.9331412898038,11.1180693823757,2.77555756156289E-16)); #37919=CARTESIAN_POINT('Origin',(11.0898201987263,11.2517773742059,6.34358476618737E-17)); #37920=CARTESIAN_POINT('',(10.9331412898038,11.1180693823757,0.0200000000000003)); #37921=CARTESIAN_POINT('',(10.9331412898038,11.1180693823757,2.77555756156289E-16)); #37922=CARTESIAN_POINT('Origin',(11.0898201987263,11.2517773742059,0.0200000000000003)); #37923=CARTESIAN_POINT('Origin',(11.0895810367503,11.2520787433626,2.77555756156289E-16)); #37924=CARTESIAN_POINT('',(11.086811218094,11.04610731,2.77555756156289E-16)); #37925=CARTESIAN_POINT('Origin',(11.0895810367503,11.2520787433626,6.3437546736365E-17)); #37926=CARTESIAN_POINT('',(11.086811218094,11.04610731,0.0200000000000003)); #37927=CARTESIAN_POINT('',(11.086811218094,11.04610731,2.77555756156289E-16)); #37928=CARTESIAN_POINT('Origin',(11.0895810367503,11.2520787433626,0.0200000000000003)); #37929=CARTESIAN_POINT('Origin',(14.9885650849761,11.04610731,2.77555756156289E-16)); #37930=CARTESIAN_POINT('',(7.49428254248806,11.04610731,0.)); #37931=CARTESIAN_POINT('',(11.086811218094,11.04610731,0.0200000000000003)); #37932=CARTESIAN_POINT('Origin',(15.5858278391495,15.745434642693,5.55111512312578E-16)); #37933=CARTESIAN_POINT('',(15.8363392899999,15.7548177900054,2.77555756156289E-16)); #37934=CARTESIAN_POINT('',(15.59227429,15.99603886,5.55111512312578E-16)); #37935=CARTESIAN_POINT('Origin',(15.5858278391495,15.745434642693,8.87704191209486E-17)); #37936=CARTESIAN_POINT('',(15.8363392899999,15.7548177900054,0.0200000000000003)); #37937=CARTESIAN_POINT('',(15.8363392899999,15.7548177900054,2.77555756156289E-16)); #37938=CARTESIAN_POINT('',(15.59227429,15.99603886,0.0200000000000006)); #37939=CARTESIAN_POINT('Origin',(15.5858278391495,15.745434642693,0.0200000000000006)); #37940=CARTESIAN_POINT('',(15.59227429,15.99603886,5.55111512312578E-16)); #37941=CARTESIAN_POINT('Origin',(-460.812363319358,13.5052281729783,2.77555756156289E-16)); #37942=CARTESIAN_POINT('',(15.83649329,11.28850861,2.77555756156289E-16)); #37943=CARTESIAN_POINT('Origin',(-460.812363319358,13.5052281729783,7.61404681702881E-17)); #37944=CARTESIAN_POINT('',(15.83649329,11.28850861,0.0200000000000003)); #37945=CARTESIAN_POINT('',(15.83649329,11.28850861,2.77555756156289E-16)); #37946=CARTESIAN_POINT('Origin',(-460.812363319358,13.5052281729783,0.0200000000000003)); #37947=CARTESIAN_POINT('Origin',(15.83480329,11.21703931,2.77555756156289E-16)); #37948=CARTESIAN_POINT('',(15.83480329,11.21703931,2.77555756156289E-16)); #37949=CARTESIAN_POINT('',(15.6978308486767,5.42453960546517,0.)); #37950=CARTESIAN_POINT('',(15.83480329,11.21703931,0.0200000000000003)); #37951=CARTESIAN_POINT('',(15.83480329,11.21703931,2.77555756156289E-16)); #37952=CARTESIAN_POINT('',(15.83480329,11.21703931,0.0200000000000003)); #37953=CARTESIAN_POINT('Origin',(15.5857635521859,11.2468992049005,2.77555756156289E-16)); #37954=CARTESIAN_POINT('',(15.59812429,10.99638051,2.77555756156289E-16)); #37955=CARTESIAN_POINT('Origin',(15.5857635521859,11.2468992049005,6.34083452687291E-17)); #37956=CARTESIAN_POINT('',(15.59812429,10.99638051,0.0200000000000003)); #37957=CARTESIAN_POINT('',(15.59812429,10.99638051,2.77555756156289E-16)); #37958=CARTESIAN_POINT('Origin',(15.5857635521859,11.2468992049005,0.0200000000000003)); #37959=CARTESIAN_POINT('Origin',(14.98857629,10.99610731,2.77555756156289E-16)); #37960=CARTESIAN_POINT('',(14.98857629,10.99610731,2.77555756156289E-16)); #37961=CARTESIAN_POINT('',(7.49182541804063,10.9927472590471,0.)); #37962=CARTESIAN_POINT('',(14.98857629,10.99610731,0.0200000000000003)); #37963=CARTESIAN_POINT('',(14.98857629,10.99610731,2.77555756156289E-16)); #37964=CARTESIAN_POINT('',(14.98857629,10.99610731,0.0200000000000003)); #37965=CARTESIAN_POINT('Origin',(11.08649329,10.99610731,2.77555756156289E-16)); #37966=CARTESIAN_POINT('',(11.08649329,10.99610731,2.77555756156289E-16)); #37967=CARTESIAN_POINT('',(5.543246645,10.99610731,0.)); #37968=CARTESIAN_POINT('',(11.08649329,10.99610731,0.0200000000000003)); #37969=CARTESIAN_POINT('',(11.08649329,10.99610731,2.77555756156289E-16)); #37970=CARTESIAN_POINT('',(11.08649329,10.99610731,0.0200000000000003)); #37971=CARTESIAN_POINT('Origin',(11.0895810367503,11.2520787433626,2.77555756156289E-16)); #37972=CARTESIAN_POINT('',(10.89513829,11.08557671,2.77555756156289E-16)); #37973=CARTESIAN_POINT('Origin',(11.0895810367503,11.2520787433626,6.3437546736365E-17)); #37974=CARTESIAN_POINT('',(10.89513829,11.08557671,0.0200000000000003)); #37975=CARTESIAN_POINT('',(10.89513829,11.08557671,2.77555756156289E-16)); #37976=CARTESIAN_POINT('Origin',(11.0895810367503,11.2520787433626,0.0200000000000003)); #37977=CARTESIAN_POINT('Origin',(11.0898201987263,11.2517773742059,2.77555756156289E-16)); #37978=CARTESIAN_POINT('',(10.83649329,11.28850861,2.77555756156289E-16)); #37979=CARTESIAN_POINT('Origin',(11.0898201987263,11.2517773742059,6.34358476618737E-17)); #37980=CARTESIAN_POINT('',(10.83649329,11.28850861,0.0200000000000003)); #37981=CARTESIAN_POINT('',(10.83649329,11.28850861,2.77555756156289E-16)); #37982=CARTESIAN_POINT('Origin',(11.0898201987263,11.2517773742059,0.0200000000000003)); #37983=CARTESIAN_POINT('Origin',(488.275138366624,13.5052008853734,2.77555756156289E-16)); #37984=CARTESIAN_POINT('',(10.83664729,15.75481768,5.55111512312578E-16)); #37985=CARTESIAN_POINT('Origin',(488.275138366624,13.5052008853734,7.61403143268305E-17)); #37986=CARTESIAN_POINT('',(10.83664729,15.75481768,0.0200000000000006)); #37987=CARTESIAN_POINT('',(10.83664729,15.75481768,5.55111512312578E-16)); #37988=CARTESIAN_POINT('Origin',(488.275138366624,13.5052008853734,0.0200000000000003)); #37989=CARTESIAN_POINT('Origin',(11.0871184502412,15.7454781159999,5.55111512312578E-16)); #37990=CARTESIAN_POINT('',(11.08061129,15.99603886,5.55111512312578E-16)); #37991=CARTESIAN_POINT('Origin',(11.0871184502412,15.7454781159999,8.87706642169881E-17)); #37992=CARTESIAN_POINT('',(11.08061129,15.99603886,0.0200000000000006)); #37993=CARTESIAN_POINT('',(11.08061129,15.99603886,5.55111512312578E-16)); #37994=CARTESIAN_POINT('Origin',(11.0871184502412,15.7454781159999,0.0200000000000006)); #37995=CARTESIAN_POINT('Origin',(15.59227429,15.99603886,5.55111512312578E-16)); #37996=CARTESIAN_POINT('',(7.79613714500001,15.99603886,0.)); #37997=CARTESIAN_POINT('',(15.59227429,15.99603886,0.0200000000000006)); #37998=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #37999=CARTESIAN_POINT('Origin',(11.7296762078228,8.29046925474037,2.77555756156289E-16)); #38000=CARTESIAN_POINT('',(12.93999629,8.10876201000001,2.77555756156289E-16)); #38001=CARTESIAN_POINT('',(12.94546429,8.43100951000001,2.77555756156289E-16)); #38002=CARTESIAN_POINT('Origin',(11.7296762078228,8.29046925474037,4.67404328399517E-17)); #38003=CARTESIAN_POINT('',(12.93999629,8.10876201000001,0.0200000000000003)); #38004=CARTESIAN_POINT('',(12.93999629,8.10876201000001,2.77555756156289E-16)); #38005=CARTESIAN_POINT('',(12.94546429,8.43100951000001,0.0200000000000003)); #38006=CARTESIAN_POINT('Origin',(11.7296762078228,8.29046925474037,0.0200000000000003)); #38007=CARTESIAN_POINT('',(12.94546429,8.43100951000001,2.77555756156289E-16)); #38008=CARTESIAN_POINT('Origin',(12.93771829,8.10496251,2.77555756156289E-16)); #38009=CARTESIAN_POINT('',(12.93771829,8.10496251,2.77555756156289E-16)); #38010=CARTESIAN_POINT('',(9.44001961695898,2.27111435011245,0.)); #38011=CARTESIAN_POINT('',(12.93771829,8.10496251,0.0200000000000003)); #38012=CARTESIAN_POINT('',(12.93771829,8.10496251,2.77555756156289E-16)); #38013=CARTESIAN_POINT('',(12.93999629,8.10876201000001,0.0200000000000003)); #38014=CARTESIAN_POINT('Origin',(12.93504629,8.10155791000001,2.77555756156289E-16)); #38015=CARTESIAN_POINT('',(12.93504629,8.10155791000001,2.77555756156289E-16)); #38016=CARTESIAN_POINT('',(8.50248853092238,2.45369632820543,0.)); #38017=CARTESIAN_POINT('',(12.93504629,8.10155791000001,0.0200000000000003)); #38018=CARTESIAN_POINT('',(12.93504629,8.10155791000001,2.77555756156289E-16)); #38019=CARTESIAN_POINT('',(12.93771829,8.10496251,0.0200000000000003)); #38020=CARTESIAN_POINT('Origin',(12.93198029,8.09854811000001,2.77555756156289E-16)); #38021=CARTESIAN_POINT('',(12.93198029,8.09854811000001,2.77555756156289E-16)); #38022=CARTESIAN_POINT('',(7.61489054398096,2.8789210005525,0.)); #38023=CARTESIAN_POINT('',(12.93198029,8.09854811000001,0.0200000000000003)); #38024=CARTESIAN_POINT('',(12.93198029,8.09854811000001,2.77555756156289E-16)); #38025=CARTESIAN_POINT('',(12.93504629,8.10155791000001,0.0200000000000003)); #38026=CARTESIAN_POINT('Origin',(12.92851929,8.09593311000001,2.77555756156289E-16)); #38027=CARTESIAN_POINT('',(12.92851929,8.09593311000001,2.77555756156289E-16)); #38028=CARTESIAN_POINT('',(6.86644820009596,3.5156626968016,0.)); #38029=CARTESIAN_POINT('',(12.92851929,8.09593311000001,0.0200000000000003)); #38030=CARTESIAN_POINT('',(12.92851929,8.09593311000001,2.77555756156289E-16)); #38031=CARTESIAN_POINT('',(12.93198029,8.09854811000001,0.0200000000000003)); #38032=CARTESIAN_POINT('Origin',(12.92466329,8.09371111000001,2.77555756156289E-16)); #38033=CARTESIAN_POINT('',(12.92466329,8.09371111000001,2.77555756156289E-16)); #38034=CARTESIAN_POINT('',(6.32261547481255,4.28931529948365,3.08148791101958E-32)); #38035=CARTESIAN_POINT('',(12.92466329,8.09371111000001,0.0200000000000003)); #38036=CARTESIAN_POINT('',(12.92466329,8.09371111000001,2.77555756156289E-16)); #38037=CARTESIAN_POINT('',(12.92851929,8.09593311000001,0.0200000000000003)); #38038=CARTESIAN_POINT('Origin',(12.92041329,8.09188381000001,2.77555756156289E-16)); #38039=CARTESIAN_POINT('',(12.92041329,8.09188381000001,2.77555756156289E-16)); #38040=CARTESIAN_POINT('',(5.99995474785635,5.11641230551423,0.)); #38041=CARTESIAN_POINT('',(12.92041329,8.09188381000001,0.0200000000000003)); #38042=CARTESIAN_POINT('',(12.92041329,8.09188381000001,2.77555756156289E-16)); #38043=CARTESIAN_POINT('',(12.92466329,8.09371111000001,0.0200000000000003)); #38044=CARTESIAN_POINT('Origin',(12.91576729,8.09044951000001,2.77555756156289E-16)); #38045=CARTESIAN_POINT('',(12.91576729,8.09044951000001,2.77555756156289E-16)); #38046=CARTESIAN_POINT('',(5.87964045998289,5.91827630459772,-3.08148791101958E-32)); #38047=CARTESIAN_POINT('',(12.91576729,8.09044951000001,0.0200000000000003)); #38048=CARTESIAN_POINT('',(12.91576729,8.09044951000001,2.77555756156289E-16)); #38049=CARTESIAN_POINT('',(12.92041329,8.09188381000001,0.0200000000000003)); #38050=CARTESIAN_POINT('Origin',(12.91072629,8.08941001000001,2.77555756156289E-16)); #38051=CARTESIAN_POINT('',(12.91072629,8.08941001000001,2.77555756156289E-16)); #38052=CARTESIAN_POINT('',(5.91862755436241,6.64757572400939,0.)); #38053=CARTESIAN_POINT('',(12.91072629,8.08941001000001,0.0200000000000003)); #38054=CARTESIAN_POINT('',(12.91072629,8.08941001000001,2.77555756156289E-16)); #38055=CARTESIAN_POINT('',(12.91576729,8.09044951000001,0.0200000000000003)); #38056=CARTESIAN_POINT('Origin',(12.8801770871475,8.32297228260195,2.77555756156289E-16)); #38057=CARTESIAN_POINT('',(12.86341729,8.08801761000001,2.77555756156289E-16)); #38058=CARTESIAN_POINT('Origin',(12.8801770871475,8.32297228260195,4.69236800777351E-17)); #38059=CARTESIAN_POINT('',(12.86341729,8.08801761000001,0.0200000000000003)); #38060=CARTESIAN_POINT('',(12.86341729,8.08801761000001,2.77555756156289E-16)); #38061=CARTESIAN_POINT('Origin',(12.8801770871475,8.32297228260195,0.0200000000000003)); #38062=CARTESIAN_POINT('Origin',(12.84015229,8.08728901000001,2.77555756156289E-16)); #38063=CARTESIAN_POINT('',(12.84015229,8.08728901000001,2.77555756156289E-16)); #38064=CARTESIAN_POINT('',(6.29985419553197,7.88246368476356,-3.08148791101958E-32)); #38065=CARTESIAN_POINT('',(12.84015229,8.08728901000001,0.0200000000000003)); #38066=CARTESIAN_POINT('',(12.84015229,8.08728901000001,2.77555756156289E-16)); #38067=CARTESIAN_POINT('',(12.86341729,8.08801761000001,0.0200000000000003)); #38068=CARTESIAN_POINT('Origin',(12.81809829,8.08646891000001,2.77555756156289E-16)); #38069=CARTESIAN_POINT('',(12.81809829,8.08646891000001,2.77555756156289E-16)); #38070=CARTESIAN_POINT('',(6.26775524082304,7.84288786644233,0.)); #38071=CARTESIAN_POINT('',(12.81809829,8.08646891000001,0.0200000000000003)); #38072=CARTESIAN_POINT('',(12.81809829,8.08646891000001,2.77555756156289E-16)); #38073=CARTESIAN_POINT('',(12.84015229,8.08728901000001,0.0200000000000003)); #38074=CARTESIAN_POINT('Origin',(12.7767199173471,8.24228377361706,2.77555756156289E-16)); #38075=CARTESIAN_POINT('',(12.68766729,8.10789601000001,2.77555756156289E-16)); #38076=CARTESIAN_POINT('Origin',(12.7767199173471,8.24228377361706,4.64687702627077E-17)); #38077=CARTESIAN_POINT('',(12.68766729,8.10789601000001,0.0200000000000003)); #38078=CARTESIAN_POINT('',(12.68766729,8.10789601000001,2.77555756156289E-16)); #38079=CARTESIAN_POINT('Origin',(12.7767199173471,8.24228377361706,0.0200000000000003)); #38080=CARTESIAN_POINT('Origin',(12.7803148094533,8.1591620569256,2.77555756156289E-16)); #38081=CARTESIAN_POINT('',(12.67779329,8.18564051000001,2.77555756156289E-16)); #38082=CARTESIAN_POINT('Origin',(12.7803148094533,8.1591620569256,4.60001423844562E-17)); #38083=CARTESIAN_POINT('',(12.67779329,8.18564051000001,0.0200000000000003)); #38084=CARTESIAN_POINT('',(12.67779329,8.18564051000001,2.77555756156289E-16)); #38085=CARTESIAN_POINT('Origin',(12.7803148094533,8.1591620569256,0.0200000000000003)); #38086=CARTESIAN_POINT('Origin',(12.67779329,8.39680881,2.77555756156289E-16)); #38087=CARTESIAN_POINT('',(12.67779329,8.39680881,2.77555756156289E-16)); #38088=CARTESIAN_POINT('',(12.67779329,4.198404405,0.)); #38089=CARTESIAN_POINT('',(12.67779329,8.39680881,0.0200000000000003)); #38090=CARTESIAN_POINT('',(12.67779329,8.39680881,2.77555756156289E-16)); #38091=CARTESIAN_POINT('',(12.67779329,8.18564051000001,0.0200000000000003)); #38092=CARTESIAN_POINT('Origin',(12.7807621997577,8.40490722677449,2.77555756156289E-16)); #38093=CARTESIAN_POINT('',(12.68326429,8.43900031000001,2.77555756156289E-16)); #38094=CARTESIAN_POINT('Origin',(12.7807621997577,8.40490722677449,4.73856171090017E-17)); #38095=CARTESIAN_POINT('',(12.68326429,8.43900031000001,0.0200000000000003)); #38096=CARTESIAN_POINT('',(12.68326429,8.43900031000001,2.77555756156289E-16)); #38097=CARTESIAN_POINT('Origin',(12.7807621997577,8.40490722677449,0.0200000000000003)); #38098=CARTESIAN_POINT('Origin',(12.68562429,8.44414061000001,2.77555756156289E-16)); #38099=CARTESIAN_POINT('',(12.68562429,8.44414061000001,2.77555756156289E-16)); #38100=CARTESIAN_POINT('',(9.98043083982398,2.55197709647252,0.)); #38101=CARTESIAN_POINT('',(12.68562429,8.44414061000001,0.0200000000000003)); #38102=CARTESIAN_POINT('',(12.68562429,8.44414061000001,2.77555756156289E-16)); #38103=CARTESIAN_POINT('',(12.68326429,8.43900031000001,0.0200000000000003)); #38104=CARTESIAN_POINT('Origin',(12.68841729,8.44874691000001,2.77555756156289E-16)); #38105=CARTESIAN_POINT('',(12.68841729,8.44874691000001,2.77555756156289E-16)); #38106=CARTESIAN_POINT('',(9.11010680286175,2.54728912377905,1.54074395550979E-32)); #38107=CARTESIAN_POINT('',(12.68841729,8.44874691000001,0.0200000000000003)); #38108=CARTESIAN_POINT('',(12.68841729,8.44874691000001,2.77555756156289E-16)); #38109=CARTESIAN_POINT('',(12.68562429,8.44414061000001,0.0200000000000003)); #38110=CARTESIAN_POINT('Origin',(12.69164629,8.45284581,2.77555756156289E-16)); #38111=CARTESIAN_POINT('',(12.69164629,8.45284581,2.77555756156289E-16)); #38112=CARTESIAN_POINT('',(8.20710390322679,2.76015742686746,1.54074395550979E-32)); #38113=CARTESIAN_POINT('',(12.69164629,8.45284581,0.0200000000000003)); #38114=CARTESIAN_POINT('',(12.69164629,8.45284581,2.77555756156289E-16)); #38115=CARTESIAN_POINT('',(12.68841729,8.44874691000001,0.0200000000000003)); #38116=CARTESIAN_POINT('Origin',(12.69531729,8.45646591000001,2.77555756156289E-16)); #38117=CARTESIAN_POINT('',(12.69531729,8.45646591000001,2.77555756156289E-16)); #38118=CARTESIAN_POINT('',(7.36326597810211,3.19834579171375,-1.54074395550979E-32)); #38119=CARTESIAN_POINT('',(12.69531729,8.45646591000001,0.0200000000000003)); #38120=CARTESIAN_POINT('',(12.69531729,8.45646591000001,2.77555756156289E-16)); #38121=CARTESIAN_POINT('',(12.69164629,8.45284581,0.0200000000000003)); #38122=CARTESIAN_POINT('Origin',(12.69943129,8.45963501,2.77555756156289E-16)); #38123=CARTESIAN_POINT('',(12.69943129,8.45963501,2.77555756156289E-16)); #38124=CARTESIAN_POINT('',(6.66951593047169,3.81466545096819,-1.54074395550979E-32)); #38125=CARTESIAN_POINT('',(12.69943129,8.45963501,0.0200000000000003)); #38126=CARTESIAN_POINT('',(12.69943129,8.45963501,2.77555756156289E-16)); #38127=CARTESIAN_POINT('',(12.69531729,8.45646591000001,0.0200000000000003)); #38128=CARTESIAN_POINT('Origin',(12.70399529,8.46237771000001,2.77555756156289E-16)); #38129=CARTESIAN_POINT('',(12.70399529,8.46237771000001,2.77555756156289E-16)); #38130=CARTESIAN_POINT('',(6.16921333671311,4.53535175397571,0.)); #38131=CARTESIAN_POINT('',(12.70399529,8.46237771000001,0.0200000000000003)); #38132=CARTESIAN_POINT('',(12.70399529,8.46237771000001,2.77555756156289E-16)); #38133=CARTESIAN_POINT('',(12.69943129,8.45963501,0.0200000000000003)); #38134=CARTESIAN_POINT('Origin',(12.70901329,8.46472381000001,2.77555756156289E-16)); #38135=CARTESIAN_POINT('',(12.70901329,8.46472381000001,2.77555756156289E-16)); #38136=CARTESIAN_POINT('',(5.87054675730938,5.2674885903206,0.)); #38137=CARTESIAN_POINT('',(12.70901329,8.46472381000001,0.0200000000000003)); #38138=CARTESIAN_POINT('',(12.70901329,8.46472381000001,2.77555756156289E-16)); #38139=CARTESIAN_POINT('',(12.70399529,8.46237771000001,0.0200000000000003)); #38140=CARTESIAN_POINT('Origin',(12.71448829,8.46669881000001,2.77555756156289E-16)); #38141=CARTESIAN_POINT('',(12.71448829,8.46669881000001,2.77555756156289E-16)); #38142=CARTESIAN_POINT('',(5.73797516328432,5.95005708848942,0.)); #38143=CARTESIAN_POINT('',(12.71448829,8.46669881000001,0.0200000000000003)); #38144=CARTESIAN_POINT('',(12.71448829,8.46669881000001,2.77555756156289E-16)); #38145=CARTESIAN_POINT('',(12.70901329,8.46472381000001,0.0200000000000003)); #38146=CARTESIAN_POINT('Origin',(12.72042429,8.46833151000001,2.77555756156289E-16)); #38147=CARTESIAN_POINT('',(12.72042429,8.46833151000001,2.77555756156289E-16)); #38148=CARTESIAN_POINT('',(5.72483823739408,6.54419179502728,0.)); #38149=CARTESIAN_POINT('',(12.72042429,8.46833151000001,0.0200000000000003)); #38150=CARTESIAN_POINT('',(12.72042429,8.46833151000001,2.77555756156289E-16)); #38151=CARTESIAN_POINT('',(12.71448829,8.46669881000001,0.0200000000000003)); #38152=CARTESIAN_POINT('Origin',(12.8086780762858,8.22065285360009,2.77555756156289E-16)); #38153=CARTESIAN_POINT('',(12.93660129,8.45036811,2.77555756156289E-16)); #38154=CARTESIAN_POINT('Origin',(12.8086780762858,8.22065285360009,4.63468183522364E-17)); #38155=CARTESIAN_POINT('',(12.93660129,8.45036811,0.0200000000000003)); #38156=CARTESIAN_POINT('',(12.93660129,8.45036811,2.77555756156289E-16)); #38157=CARTESIAN_POINT('Origin',(12.8086780762858,8.22065285360009,0.0200000000000003)); #38158=CARTESIAN_POINT('Origin',(12.93948029,8.44682231000001,2.77555756156289E-16)); #38159=CARTESIAN_POINT('',(12.93948029,8.44682231000001,2.77555756156289E-16)); #38160=CARTESIAN_POINT('',(12.4356171530026,9.06738427983816,-3.08148791101958E-32)); #38161=CARTESIAN_POINT('',(12.93948029,8.44682231000001,0.0200000000000003)); #38162=CARTESIAN_POINT('',(12.93948029,8.44682231000001,2.77555756156289E-16)); #38163=CARTESIAN_POINT('',(12.93660129,8.45036811,0.0200000000000003)); #38164=CARTESIAN_POINT('Origin',(12.94185929,8.44308781000001,2.77555756156289E-16)); #38165=CARTESIAN_POINT('',(12.94185929,8.44308781000001,2.77555756156289E-16)); #38166=CARTESIAN_POINT('',(12.9868779886681,8.37241848247756,0.)); #38167=CARTESIAN_POINT('',(12.94185929,8.44308781000001,0.0200000000000003)); #38168=CARTESIAN_POINT('',(12.94185929,8.44308781000001,2.77555756156289E-16)); #38169=CARTESIAN_POINT('',(12.93948029,8.44682231000001,0.0200000000000003)); #38170=CARTESIAN_POINT('Origin',(12.94368629,8.43919101000001,2.77555756156289E-16)); #38171=CARTESIAN_POINT('',(12.94368629,8.43919101000001,2.77555756156289E-16)); #38172=CARTESIAN_POINT('',(13.3992642713897,7.46749080316852,0.)); #38173=CARTESIAN_POINT('',(12.94368629,8.43919101000001,0.0200000000000003)); #38174=CARTESIAN_POINT('',(12.94368629,8.43919101000001,2.77555756156289E-16)); #38175=CARTESIAN_POINT('',(12.94185929,8.44308781000001,0.0200000000000003)); #38176=CARTESIAN_POINT('Origin',(12.94490629,8.43515701000001,2.77555756156289E-16)); #38177=CARTESIAN_POINT('',(12.94490629,8.43515701000001,2.77555756156289E-16)); #38178=CARTESIAN_POINT('',(13.5711537313711,6.36443391287694,0.)); #38179=CARTESIAN_POINT('',(12.94490629,8.43515701000001,0.0200000000000003)); #38180=CARTESIAN_POINT('',(12.94490629,8.43515701000001,2.77555756156289E-16)); #38181=CARTESIAN_POINT('',(12.94368629,8.43919101000001,0.0200000000000003)); #38182=CARTESIAN_POINT('Origin',(12.94546429,8.43100951000001,2.77555756156289E-16)); #38183=CARTESIAN_POINT('',(13.3874522310363,5.1458034428852,-3.08148791101958E-32)); #38184=CARTESIAN_POINT('',(12.94490629,8.43515701000001,0.0200000000000003)); #38185=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #38186=CARTESIAN_POINT('Origin',(7.73929309,8.69080751000001,2.77555756156289E-16)); #38187=CARTESIAN_POINT('',(7.73929309,8.69080751000001,2.77555756156289E-16)); #38188=CARTESIAN_POINT('',(7.73961739,8.68352241000001,2.77555756156289E-16)); #38189=CARTESIAN_POINT('',(7.92469537711043,4.52591635417471,-3.08148791101958E-32)); #38190=CARTESIAN_POINT('',(7.73929309,8.69080751000001,0.0200000000000003)); #38191=CARTESIAN_POINT('',(7.73929309,8.69080751000001,2.77555756156289E-16)); #38192=CARTESIAN_POINT('',(7.73961739,8.68352241000001,0.0200000000000003)); #38193=CARTESIAN_POINT('',(7.73961739,8.68352241000001,0.0200000000000003)); #38194=CARTESIAN_POINT('',(7.73961739,8.68352241000001,2.77555756156289E-16)); #38195=CARTESIAN_POINT('Origin',(7.73937179,10.17303291,2.77555756156289E-16)); #38196=CARTESIAN_POINT('',(7.73937179,10.17303291,2.77555756156289E-16)); #38197=CARTESIAN_POINT('',(7.73910170623682,5.0863110051242,0.)); #38198=CARTESIAN_POINT('',(7.73937179,10.17303291,0.0200000000000003)); #38199=CARTESIAN_POINT('',(7.73937179,10.17303291,2.77555756156289E-16)); #38200=CARTESIAN_POINT('',(7.73929309,8.69080751000001,0.0200000000000003)); #38201=CARTESIAN_POINT('Origin',(7.74121139,10.18537871,2.77555756156289E-16)); #38202=CARTESIAN_POINT('',(7.74121139,10.18537871,2.77555756156289E-16)); #38203=CARTESIAN_POINT('',(6.9147801219982,4.63908867493841,0.)); #38204=CARTESIAN_POINT('',(7.74121139,10.18537871,0.0200000000000003)); #38205=CARTESIAN_POINT('',(7.74121139,10.18537871,2.77555756156289E-16)); #38206=CARTESIAN_POINT('',(7.73937179,10.17303291,0.0200000000000003)); #38207=CARTESIAN_POINT('Origin',(7.74537179,10.19568801,2.77555756156289E-16)); #38208=CARTESIAN_POINT('',(7.74537179,10.19568801,2.77555756156289E-16)); #38209=CARTESIAN_POINT('',(5.43384711331791,4.46782498016881,0.)); #38210=CARTESIAN_POINT('',(7.74537179,10.19568801,0.0200000000000003)); #38211=CARTESIAN_POINT('',(7.74537179,10.19568801,2.77555756156289E-16)); #38212=CARTESIAN_POINT('',(7.74121139,10.18537871,0.0200000000000003)); #38213=CARTESIAN_POINT('Origin',(7.75169039,10.20413321,2.77555756156289E-16)); #38214=CARTESIAN_POINT('',(7.75169039,10.20413321,2.77555756156289E-16)); #38215=CARTESIAN_POINT('',(3.91337506271481,5.07398719632493,0.)); #38216=CARTESIAN_POINT('',(7.75169039,10.20413321,0.0200000000000003)); #38217=CARTESIAN_POINT('',(7.75169039,10.20413321,2.77555756156289E-16)); #38218=CARTESIAN_POINT('',(7.74537179,10.19568801,0.0200000000000003)); #38219=CARTESIAN_POINT('Origin',(7.76000599,10.21088811,2.77555756156289E-16)); #38220=CARTESIAN_POINT('',(7.76000599,10.21088811,2.77555756156289E-16)); #38221=CARTESIAN_POINT('',(2.92390635156905,6.2824440473191,0.)); #38222=CARTESIAN_POINT('',(7.76000599,10.21088811,0.0200000000000003)); #38223=CARTESIAN_POINT('',(7.76000599,10.21088811,2.77555756156289E-16)); #38224=CARTESIAN_POINT('',(7.75169039,10.20413321,0.0200000000000003)); #38225=CARTESIAN_POINT('Origin',(7.77015639,10.21612611,2.77555756156289E-16)); #38226=CARTESIAN_POINT('',(7.77015639,10.21612611,2.77555756156289E-16)); #38227=CARTESIAN_POINT('',(2.620462552978,7.55868440146493,0.)); #38228=CARTESIAN_POINT('',(7.77015639,10.21612611,0.0200000000000003)); #38229=CARTESIAN_POINT('',(7.77015639,10.21612611,2.77555756156289E-16)); #38230=CARTESIAN_POINT('',(7.76000599,10.21088811,0.0200000000000003)); #38231=CARTESIAN_POINT('Origin',(7.85907646674426,9.99109891953304,2.77555756156289E-16)); #38232=CARTESIAN_POINT('',(8.00020599,10.18763511,2.77555756156289E-16)); #38233=CARTESIAN_POINT('Origin',(7.85907646674426,9.99109891953304,5.63283300011916E-17)); #38234=CARTESIAN_POINT('',(8.00020599,10.18763511,0.0200000000000003)); #38235=CARTESIAN_POINT('',(8.00020599,10.18763511,2.77555756156289E-16)); #38236=CARTESIAN_POINT('Origin',(7.85907646674426,9.99109891953304,0.0200000000000003)); #38237=CARTESIAN_POINT('Origin',(7.56261622252373,10.0792985667591,2.77555756156289E-16)); #38238=CARTESIAN_POINT('',(8.00699999,10.00350491,2.77555756156289E-16)); #38239=CARTESIAN_POINT('Origin',(7.56261622252373,10.0792985667591,5.68255864966934E-17)); #38240=CARTESIAN_POINT('',(8.00699999,10.00350491,0.0200000000000003)); #38241=CARTESIAN_POINT('',(8.00699999,10.00350491,2.77555756156289E-16)); #38242=CARTESIAN_POINT('Origin',(7.56261622252373,10.0792985667591,0.0200000000000003)); #38243=CARTESIAN_POINT('Origin',(8.00799319,9.17360751000001,2.77555756156289E-16)); #38244=CARTESIAN_POINT('',(8.00799319,9.17360751000001,2.77555756156289E-16)); #38245=CARTESIAN_POINT('',(8.01347681686208,4.59160219846585,3.08148791101958E-32)); #38246=CARTESIAN_POINT('',(8.00799319,9.17360751000001,0.0200000000000003)); #38247=CARTESIAN_POINT('',(8.00799319,9.17360751000001,2.77555756156289E-16)); #38248=CARTESIAN_POINT('',(8.00699999,10.00350491,0.0200000000000003)); #38249=CARTESIAN_POINT('Origin',(8.25005029297335,9.13928096086189,2.77555756156289E-16)); #38250=CARTESIAN_POINT('',(8.06888579,8.97511831000001,2.77555756156289E-16)); #38251=CARTESIAN_POINT('Origin',(8.25005029297335,9.13928096086189,5.15259070181537E-17)); #38252=CARTESIAN_POINT('',(8.06888579,8.97511831000001,0.0200000000000003)); #38253=CARTESIAN_POINT('',(8.06888579,8.97511831000001,2.77555756156289E-16)); #38254=CARTESIAN_POINT('Origin',(8.25005029297335,9.13928096086189,0.0200000000000003)); #38255=CARTESIAN_POINT('Origin',(8.2472257861327,9.14236163096689,2.77555756156289E-16)); #38256=CARTESIAN_POINT('',(8.26177409,8.89830461000001,2.77555756156289E-16)); #38257=CARTESIAN_POINT('Origin',(8.2472257861327,9.14236163096689,5.15432753781006E-17)); #38258=CARTESIAN_POINT('',(8.26177409,8.89830461000001,0.0200000000000003)); #38259=CARTESIAN_POINT('',(8.26177409,8.89830461000001,2.77555756156289E-16)); #38260=CARTESIAN_POINT('Origin',(8.2472257861327,9.14236163096689,0.0200000000000003)); #38261=CARTESIAN_POINT('Origin',(8.67456063596014,16.4462548822175,5.55111512312578E-16)); #38262=CARTESIAN_POINT('',(8.73506258999999,8.88726781000001,2.77555756156289E-16)); #38263=CARTESIAN_POINT('Origin',(8.67456063596014,16.4462548822175,9.27215394172628E-17)); #38264=CARTESIAN_POINT('',(8.73506258999999,8.88726781000001,0.0200000000000003)); #38265=CARTESIAN_POINT('',(8.73506258999999,8.88726781000001,2.77555756156289E-16)); #38266=CARTESIAN_POINT('Origin',(8.67456063596014,16.4462548822175,0.0200000000000006)); #38267=CARTESIAN_POINT('Origin',(8.74489589,8.88315411000001,2.77555756156289E-16)); #38268=CARTESIAN_POINT('',(8.74489589,8.88315411000001,2.77555756156289E-16)); #38269=CARTESIAN_POINT('',(6.60505155397242,9.77834470167427,0.)); #38270=CARTESIAN_POINT('',(8.74489589,8.88315411000001,0.0200000000000003)); #38271=CARTESIAN_POINT('',(8.74489589,8.88315411000001,2.77555756156289E-16)); #38272=CARTESIAN_POINT('',(8.73506259,8.88726781,0.0200000000000003)); #38273=CARTESIAN_POINT('Origin',(8.75282189,8.87766141000001,2.77555756156289E-16)); #38274=CARTESIAN_POINT('',(8.75282189,8.87766141000001,2.77555756156289E-16)); #38275=CARTESIAN_POINT('',(7.87437906582368,9.48642029220475,-3.08148791101958E-32)); #38276=CARTESIAN_POINT('',(8.75282189,8.87766141000001,0.0200000000000003)); #38277=CARTESIAN_POINT('',(8.75282189,8.87766141000001,2.77555756156289E-16)); #38278=CARTESIAN_POINT('',(8.74489589,8.88315411000001,0.0200000000000003)); #38279=CARTESIAN_POINT('Origin',(8.75903509,8.87073681000001,2.77555756156289E-16)); #38280=CARTESIAN_POINT('',(8.75903509,8.87073681000001,2.77555756156289E-16)); #38281=CARTESIAN_POINT('',(9.01044709463283,8.59053859212841,-3.08148791101958E-32)); #38282=CARTESIAN_POINT('',(8.75903509,8.87073681000001,0.0200000000000003)); #38283=CARTESIAN_POINT('',(8.75903509,8.87073681000001,2.77555756156289E-16)); #38284=CARTESIAN_POINT('',(8.75282189,8.87766141000001,0.0200000000000003)); #38285=CARTESIAN_POINT('Origin',(8.76373099,8.86232541,2.77555756156289E-16)); #38286=CARTESIAN_POINT('',(8.76373099,8.86232541,2.77555756156289E-16)); #38287=CARTESIAN_POINT('',(9.60853338315452,7.34909666795214,0.)); #38288=CARTESIAN_POINT('',(8.76373099,8.86232541,0.0200000000000003)); #38289=CARTESIAN_POINT('',(8.76373099,8.86232541,2.77555756156289E-16)); #38290=CARTESIAN_POINT('',(8.75903509,8.87073681000001,0.0200000000000003)); #38291=CARTESIAN_POINT('Origin',(8.76710509,8.85237471,2.77555756156289E-16)); #38292=CARTESIAN_POINT('',(8.76710509,8.85237471,2.77555756156289E-16)); #38293=CARTESIAN_POINT('',(9.66114555818555,6.2157224214563,0.)); #38294=CARTESIAN_POINT('',(8.76710509,8.85237471,0.0200000000000003)); #38295=CARTESIAN_POINT('',(8.76710509,8.85237471,2.77555756156289E-16)); #38296=CARTESIAN_POINT('',(8.76373099,8.86232541,0.0200000000000003)); #38297=CARTESIAN_POINT('Origin',(8.56993095148315,8.77488827251155,2.77555756156289E-16)); #38298=CARTESIAN_POINT('',(8.73526189,8.64242141000001,2.77555756156289E-16)); #38299=CARTESIAN_POINT('Origin',(8.56993095148315,8.77488827251155,4.94715152275479E-17)); #38300=CARTESIAN_POINT('',(8.73526189,8.64242141000001,0.0200000000000003)); #38301=CARTESIAN_POINT('',(8.73526189,8.64242141000001,2.77555756156289E-16)); #38302=CARTESIAN_POINT('Origin',(8.56993095148315,8.77488827251155,0.0200000000000003)); #38303=CARTESIAN_POINT('Origin',(8.72248649,8.63845561000001,2.77555756156289E-16)); #38304=CARTESIAN_POINT('',(8.72248649,8.63845561000001,2.77555756156289E-16)); #38305=CARTESIAN_POINT('',(3.52162172714987,7.02397860916216,3.08148791101958E-32)); #38306=CARTESIAN_POINT('',(8.72248649,8.63845561000001,0.0200000000000003)); #38307=CARTESIAN_POINT('',(8.72248649,8.63845561000001,2.77555756156289E-16)); #38308=CARTESIAN_POINT('',(8.73526189,8.64242141,0.0200000000000003)); #38309=CARTESIAN_POINT('Origin',(8.55162859281297,9.78693508717208,2.77555756156289E-16)); #38310=CARTESIAN_POINT('',(8.11630629,8.71050951,2.77555756156289E-16)); #38311=CARTESIAN_POINT('Origin',(8.55162859281297,9.78693508717208,5.51772846741302E-17)); #38312=CARTESIAN_POINT('',(8.11630629,8.71050951,0.0200000000000003)); #38313=CARTESIAN_POINT('',(8.11630629,8.71050951,2.77555756156289E-16)); #38314=CARTESIAN_POINT('Origin',(8.55162859281297,9.78693508717208,0.0200000000000003)); #38315=CARTESIAN_POINT('Origin',(8.36987657425735,9.06276417566437,2.77555756156289E-16)); #38316=CARTESIAN_POINT('',(8.00719599,8.82434431,2.77555756156289E-16)); #38317=CARTESIAN_POINT('Origin',(8.36987657425735,9.06276417566437,5.10945167614914E-17)); #38318=CARTESIAN_POINT('',(8.00719599,8.82434431,0.0200000000000003)); #38319=CARTESIAN_POINT('',(8.00719599,8.82434431,2.77555756156289E-16)); #38320=CARTESIAN_POINT('Origin',(8.36987657425735,9.06276417566437,0.0200000000000003)); #38321=CARTESIAN_POINT('Origin',(10.2363486684484,8.81612266810595,2.77555756156289E-16)); #38322=CARTESIAN_POINT('',(8.00812719,8.75117421000001,2.77555756156289E-16)); #38323=CARTESIAN_POINT('Origin',(10.2363486684484,8.81612266810595,4.97039886182277E-17)); #38324=CARTESIAN_POINT('',(8.00812719,8.75117421000001,0.0200000000000003)); #38325=CARTESIAN_POINT('',(8.00812719,8.75117421000001,2.77555756156289E-16)); #38326=CARTESIAN_POINT('Origin',(10.2363486684484,8.81612266810595,0.0200000000000003)); #38327=CARTESIAN_POINT('Origin',(8.00818489,8.73459071000001,2.77555756156289E-16)); #38328=CARTESIAN_POINT('',(8.00818489,8.73459071000001,2.77555756156289E-16)); #38329=CARTESIAN_POINT('',(8.02333163482328,4.38127974317165,0.)); #38330=CARTESIAN_POINT('',(8.00818489,8.73459071000001,0.0200000000000003)); #38331=CARTESIAN_POINT('',(8.00818489,8.73459071000001,2.77555756156289E-16)); #38332=CARTESIAN_POINT('',(8.00812719,8.75117421000001,0.0200000000000003)); #38333=CARTESIAN_POINT('Origin',(7.90384861004174,8.71507257416957,2.77555756156289E-16)); #38334=CARTESIAN_POINT('',(7.98943139,8.65228241000001,2.77555756156289E-16)); #38335=CARTESIAN_POINT('Origin',(7.90384861004174,8.71507257416957,4.91342832150741E-17)); #38336=CARTESIAN_POINT('',(7.98943139,8.65228241000001,0.0200000000000003)); #38337=CARTESIAN_POINT('',(7.98943139,8.65228241000001,2.77555756156289E-16)); #38338=CARTESIAN_POINT('Origin',(7.90384861004174,8.71507257416957,0.0200000000000003)); #38339=CARTESIAN_POINT('Origin',(7.98144869,8.64643351000001,2.77555756156289E-16)); #38340=CARTESIAN_POINT('',(7.98144869,8.64643351000001,2.77555756156289E-16)); #38341=CARTESIAN_POINT('',(3.32363811474409,5.23366987443463,0.)); #38342=CARTESIAN_POINT('',(7.98144869,8.64643351000001,0.0200000000000003)); #38343=CARTESIAN_POINT('',(7.98144869,8.64643351000001,2.77555756156289E-16)); #38344=CARTESIAN_POINT('',(7.98943139,8.65228241000001,0.0200000000000003)); #38345=CARTESIAN_POINT('Origin',(7.97198109,8.64202901000001,2.77555756156289E-16)); #38346=CARTESIAN_POINT('',(7.97198109,8.64202901000001,2.77555756156289E-16)); #38347=CARTESIAN_POINT('',(3.04262624079197,6.3488033315468,0.)); #38348=CARTESIAN_POINT('',(7.97198109,8.64202901000001,0.0200000000000003)); #38349=CARTESIAN_POINT('',(7.97198109,8.64202901000001,2.77555756156289E-16)); #38350=CARTESIAN_POINT('',(7.98144869,8.64643351000001,0.0200000000000003)); #38351=CARTESIAN_POINT('Origin',(7.87603218853135,8.88602389057081,2.77555756156289E-16)); #38352=CARTESIAN_POINT('',(7.75030139,8.65595551000001,2.77555756156289E-16)); #38353=CARTESIAN_POINT('Origin',(7.87603218853135,8.88602389057081,5.00980813159578E-17)); #38354=CARTESIAN_POINT('',(7.75030139,8.65595551000001,0.0200000000000003)); #38355=CARTESIAN_POINT('',(7.75030139,8.65595551000001,2.77555756156289E-16)); #38356=CARTESIAN_POINT('Origin',(7.87603218853135,8.88602389057081,0.0200000000000003)); #38357=CARTESIAN_POINT('Origin',(7.74702929,8.66035291000001,2.77555756156289E-16)); #38358=CARTESIAN_POINT('',(7.74702929,8.66035291000001,2.77555756156289E-16)); #38359=CARTESIAN_POINT('',(8.44046535116894,7.7284389295635,-3.08148791101958E-32)); #38360=CARTESIAN_POINT('',(7.74702929,8.66035291000001,0.0200000000000003)); #38361=CARTESIAN_POINT('',(7.74702929,8.66035291000001,2.77555756156289E-16)); #38362=CARTESIAN_POINT('',(7.75030139,8.65595551000001,0.0200000000000003)); #38363=CARTESIAN_POINT('Origin',(7.74430279,8.66528861,2.77555756156289E-16)); #38364=CARTESIAN_POINT('',(7.74430279,8.66528861,2.77555756156289E-16)); #38365=CARTESIAN_POINT('',(8.67276485896886,6.98452197372442,0.)); #38366=CARTESIAN_POINT('',(7.74430279,8.66528861,0.0200000000000003)); #38367=CARTESIAN_POINT('',(7.74430279,8.66528861,2.77555756156289E-16)); #38368=CARTESIAN_POINT('',(7.74702929,8.66035291000001,0.0200000000000003)); #38369=CARTESIAN_POINT('Origin',(7.74214409,8.67078231,2.77555756156289E-16)); #38370=CARTESIAN_POINT('',(7.74214409,8.67078231,2.77555756156289E-16)); #38371=CARTESIAN_POINT('',(8.70008476660723,6.23290826771847,0.)); #38372=CARTESIAN_POINT('',(7.74214409,8.67078231,0.0200000000000003)); #38373=CARTESIAN_POINT('',(7.74214409,8.67078231,2.77555756156289E-16)); #38374=CARTESIAN_POINT('',(7.74430279,8.66528861,0.0200000000000003)); #38375=CARTESIAN_POINT('Origin',(7.74057479,8.67685381000001,2.77555756156289E-16)); #38376=CARTESIAN_POINT('',(7.74057479,8.67685381000001,2.77555756156289E-16)); #38377=CARTESIAN_POINT('',(8.54933582474598,5.5478200863872,0.)); #38378=CARTESIAN_POINT('',(7.74057479,8.67685381000001,0.0200000000000003)); #38379=CARTESIAN_POINT('',(7.74057479,8.67685381000001,2.77555756156289E-16)); #38380=CARTESIAN_POINT('',(7.74214409,8.67078231,0.0200000000000003)); #38381=CARTESIAN_POINT('Origin',(7.73961739,8.68352241000001,2.77555756156289E-16)); #38382=CARTESIAN_POINT('',(8.27221509564184,4.97380749477301,-3.08148791101958E-32)); #38383=CARTESIAN_POINT('',(7.74057479,8.67685381000001,0.0200000000000003)); #38384=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #38385=CARTESIAN_POINT('Origin',(14.76580629,1.45998371000001,5.55111512312578E-16)); #38386=CARTESIAN_POINT('',(14.76580629,1.45998371000001,5.55111512312578E-16)); #38387=CARTESIAN_POINT('',(14.77322929,1.47251271,5.55111512312578E-16)); #38388=CARTESIAN_POINT('',(12.5274843634221,-2.31799492641411,0.)); #38389=CARTESIAN_POINT('',(14.76580629,1.45998371000001,0.0200000000000006)); #38390=CARTESIAN_POINT('',(14.76580629,1.45998371000001,5.55111512312578E-16)); #38391=CARTESIAN_POINT('',(14.77322929,1.47251271,0.0200000000000006)); #38392=CARTESIAN_POINT('',(14.76580629,1.45998371000001,0.0200000000000006)); #38393=CARTESIAN_POINT('',(14.77322929,1.47251271,5.55111512312578E-16)); #38394=CARTESIAN_POINT('Origin',(14.6964495486864,1.54329371278245,5.55111512312578E-16)); #38395=CARTESIAN_POINT('',(14.67856429,1.43637771000001,5.55111512312578E-16)); #38396=CARTESIAN_POINT('Origin',(14.6964495486864,1.54329371278245,8.70086046014614E-18)); #38397=CARTESIAN_POINT('',(14.67856429,1.43637771000001,0.0200000000000006)); #38398=CARTESIAN_POINT('',(14.67856429,1.43637771000001,5.55111512312578E-16)); #38399=CARTESIAN_POINT('Origin',(14.6964495486864,1.54329371278245,0.0200000000000006)); #38400=CARTESIAN_POINT('Origin',(14.6977828364175,1.54279563053184,5.55111512312578E-16)); #38401=CARTESIAN_POINT('',(14.60448929,1.48811071000001,5.55111512312578E-16)); #38402=CARTESIAN_POINT('Origin',(14.6977828364175,1.54279563053184,8.69805234648359E-18)); #38403=CARTESIAN_POINT('',(14.60448929,1.48811071000001,0.0200000000000006)); #38404=CARTESIAN_POINT('',(14.60448929,1.48811071000001,5.55111512312578E-16)); #38405=CARTESIAN_POINT('Origin',(14.6977828364175,1.54279563053184,0.0200000000000006)); #38406=CARTESIAN_POINT('Origin',(14.48487529,1.60772471,5.55111512312578E-16)); #38407=CARTESIAN_POINT('',(14.48487529,1.60772471,5.55111512312578E-16)); #38408=CARTESIAN_POINT('',(11.265587645,4.827012355,6.16297582203915E-32)); #38409=CARTESIAN_POINT('',(14.48487529,1.60772471,0.0200000000000006)); #38410=CARTESIAN_POINT('',(14.48487529,1.60772471,5.55111512312578E-16)); #38411=CARTESIAN_POINT('',(14.48487529,1.60772471,0.0200000000000006)); #38412=CARTESIAN_POINT('Origin',(14.48359929,1.60797871,5.55111512312578E-16)); #38413=CARTESIAN_POINT('',(14.48359929,1.60797871,5.55111512312578E-16)); #38414=CARTESIAN_POINT('',(7.67175861674126,2.96394072487839,0.)); #38415=CARTESIAN_POINT('',(14.48359929,1.60797871,0.0200000000000006)); #38416=CARTESIAN_POINT('',(14.48359929,1.60797871,5.55111512312578E-16)); #38417=CARTESIAN_POINT('',(14.48359929,1.60797871,0.0200000000000006)); #38418=CARTESIAN_POINT('Origin',(15.1932595105912,-4.52049011194618,5.55111512312578E-16)); #38419=CARTESIAN_POINT('',(14.14557829,1.55932171,5.55111512312578E-16)); #38420=CARTESIAN_POINT('Origin',(15.1932595105912,-4.52049011194618,-2.54858510403707E-17)); #38421=CARTESIAN_POINT('',(14.14557829,1.55932171,0.0200000000000006)); #38422=CARTESIAN_POINT('',(14.14557829,1.55932171,5.55111512312578E-16)); #38423=CARTESIAN_POINT('Origin',(15.1932595105912,-4.52049011194618,0.0200000000000006)); #38424=CARTESIAN_POINT('Origin',(14.0612357161239,1.40558069760176,5.55111512312578E-16)); #38425=CARTESIAN_POINT('',(14.23483629,1.38082571,5.55111512312578E-16)); #38426=CARTESIAN_POINT('Origin',(14.0612357161239,1.40558069760176,7.9244549589063E-18)); #38427=CARTESIAN_POINT('',(14.23483629,1.38082571,0.0200000000000006)); #38428=CARTESIAN_POINT('',(14.23483629,1.38082571,5.55111512312578E-16)); #38429=CARTESIAN_POINT('Origin',(14.0612357161239,1.40558069760176,0.0200000000000006)); #38430=CARTESIAN_POINT('Origin',(14.22833729,1.36543471000001,5.55111512312578E-16)); #38431=CARTESIAN_POINT('',(14.22833729,1.36543471000001,5.55111512312578E-16)); #38432=CARTESIAN_POINT('',(12.9071461727703,-1.76342395829924,0.)); #38433=CARTESIAN_POINT('',(14.22833729,1.36543471000001,0.0200000000000006)); #38434=CARTESIAN_POINT('',(14.22833729,1.36543471000001,5.55111512312578E-16)); #38435=CARTESIAN_POINT('',(14.22833729,1.36543471000001,0.0200000000000006)); #38436=CARTESIAN_POINT('Origin',(14.1640438225101,1.43927247585746,5.55111512312578E-16)); #38437=CARTESIAN_POINT('',(14.14546129,1.34314571000001,5.55111512312578E-16)); #38438=CARTESIAN_POINT('Origin',(14.1640438225101,1.43927247585746,8.11440419464091E-18)); #38439=CARTESIAN_POINT('',(14.14546129,1.34314571000001,0.0200000000000006)); #38440=CARTESIAN_POINT('',(14.14546129,1.34314571000001,5.55111512312578E-16)); #38441=CARTESIAN_POINT('Origin',(14.1640438225101,1.43927247585746,0.0200000000000006)); #38442=CARTESIAN_POINT('Origin',(14.1632279272451,1.44003466284552,5.55111512312578E-16)); #38443=CARTESIAN_POINT('',(14.07618729,1.39391471000001,5.55111512312578E-16)); #38444=CARTESIAN_POINT('Origin',(14.1632279272451,1.44003466284552,8.11870129154006E-18)); #38445=CARTESIAN_POINT('',(14.07618729,1.39391471000001,0.0200000000000006)); #38446=CARTESIAN_POINT('',(14.07618729,1.39391471000001,5.55111512312578E-16)); #38447=CARTESIAN_POINT('Origin',(14.1632279272451,1.44003466284552,0.0200000000000006)); #38448=CARTESIAN_POINT('Origin',(13.95612229,1.51407871,5.55111512312578E-16)); #38449=CARTESIAN_POINT('',(13.95612229,1.51407871,5.55111512312578E-16)); #38450=CARTESIAN_POINT('',(10.8484869722613,4.6242764389031,0.)); #38451=CARTESIAN_POINT('',(13.95612229,1.51407871,0.0200000000000006)); #38452=CARTESIAN_POINT('',(13.95612229,1.51407871,5.55111512312578E-16)); #38453=CARTESIAN_POINT('',(13.95612229,1.51407871,0.0200000000000006)); #38454=CARTESIAN_POINT('Origin',(13.74515129,1.48754171000001,5.55111512312578E-16)); #38455=CARTESIAN_POINT('',(13.74515129,1.48754171000001,5.55111512312578E-16)); #38456=CARTESIAN_POINT('',(6.88752099650869,0.624954268605888,0.)); #38457=CARTESIAN_POINT('',(13.74515129,1.48754171000001,0.0200000000000006)); #38458=CARTESIAN_POINT('',(13.74515129,1.48754171000001,5.55111512312578E-16)); #38459=CARTESIAN_POINT('',(13.74515129,1.48754171000001,0.0200000000000006)); #38460=CARTESIAN_POINT('Origin',(13.6310055413437,2.01162530229608,5.55111512312578E-16)); #38461=CARTESIAN_POINT('',(13.44473329,1.50863871000001,5.55111512312578E-16)); #38462=CARTESIAN_POINT('Origin',(13.6310055413437,2.01162530229608,1.13412443194764E-17)); #38463=CARTESIAN_POINT('',(13.44473329,1.50863871000001,0.0200000000000006)); #38464=CARTESIAN_POINT('',(13.44473329,1.50863871000001,5.55111512312578E-16)); #38465=CARTESIAN_POINT('Origin',(13.6310055413437,2.01162530229608,0.0200000000000006)); #38466=CARTESIAN_POINT('Origin',(13.8364761259649,2.09951857559511,5.55111512312578E-16)); #38467=CARTESIAN_POINT('',(13.28463429,1.65446571000001,5.55111512312578E-16)); #38468=CARTESIAN_POINT('Origin',(13.8364761259649,2.09951857559511,1.18367735243363E-17)); #38469=CARTESIAN_POINT('',(13.28463429,1.65446571000001,0.0200000000000006)); #38470=CARTESIAN_POINT('',(13.28463429,1.65446571000001,5.55111512312578E-16)); #38471=CARTESIAN_POINT('Origin',(13.8364761259649,2.09951857559511,0.0200000000000006)); #38472=CARTESIAN_POINT('Origin',(13.28471329,1.65468771,5.55111512312578E-16)); #38473=CARTESIAN_POINT('',(13.28471329,1.65468771,5.55111512312578E-16)); #38474=CARTESIAN_POINT('',(12.2767906542274,-1.17770248163676,3.08148791101958E-32)); #38475=CARTESIAN_POINT('',(13.28471329,1.65468771,0.0200000000000006)); #38476=CARTESIAN_POINT('',(13.28471329,1.65468771,5.55111512312578E-16)); #38477=CARTESIAN_POINT('',(13.28471329,1.65468771,0.0200000000000006)); #38478=CARTESIAN_POINT('Origin',(14.19815129,1.99070171000001,5.55111512312578E-16)); #38479=CARTESIAN_POINT('',(14.19815129,1.99070171000001,5.55111512312578E-16)); #38480=CARTESIAN_POINT('',(7.62270748411258,-0.428117273873531,-3.08148791101958E-32)); #38481=CARTESIAN_POINT('',(14.19815129,1.99070171000001,0.0200000000000006)); #38482=CARTESIAN_POINT('',(14.19815129,1.99070171000001,5.55111512312578E-16)); #38483=CARTESIAN_POINT('',(14.19815129,1.99070171000001,0.0200000000000006)); #38484=CARTESIAN_POINT('Origin',(14.43497229,2.13158171000001,5.55111512312578E-16)); #38485=CARTESIAN_POINT('',(14.43497229,2.13158171000001,5.55111512312578E-16)); #38486=CARTESIAN_POINT('',(8.63571914275666,-1.31827612939616,-3.08148791101958E-32)); #38487=CARTESIAN_POINT('',(14.43497229,2.13158171000001,0.0200000000000006)); #38488=CARTESIAN_POINT('',(14.43497229,2.13158171000001,5.55111512312578E-16)); #38489=CARTESIAN_POINT('',(14.43497229,2.13158171000001,0.0200000000000006)); #38490=CARTESIAN_POINT('Origin',(14.2953778508096,2.47272887496997,5.55111512312578E-16)); #38491=CARTESIAN_POINT('',(14.58287829,2.24205271000001,5.55111512312578E-16)); #38492=CARTESIAN_POINT('Origin',(14.2953778508096,2.47272887496997,1.39408776946925E-17)); #38493=CARTESIAN_POINT('',(14.58287829,2.24205271000001,0.0200000000000006)); #38494=CARTESIAN_POINT('',(14.58287829,2.24205271000001,5.55111512312578E-16)); #38495=CARTESIAN_POINT('Origin',(14.2953778508096,2.47272887496997,0.0200000000000006)); #38496=CARTESIAN_POINT('Origin',(14.58734529,2.25104971,5.55111512312578E-16)); #38497=CARTESIAN_POINT('',(14.58734529,2.25104971,5.55111512312578E-16)); #38498=CARTESIAN_POINT('',(12.6966336904698,-1.55703899852096,0.)); #38499=CARTESIAN_POINT('',(14.58734529,2.25104971,0.0200000000000006)); #38500=CARTESIAN_POINT('',(14.58734529,2.25104971,5.55111512312578E-16)); #38501=CARTESIAN_POINT('',(14.58734529,2.25104971,0.0200000000000006)); #38502=CARTESIAN_POINT('Origin',(14.59176529,2.26802371000001,5.55111512312578E-16)); #38503=CARTESIAN_POINT('',(14.59176529,2.26802371000001,5.55111512312578E-16)); #38504=CARTESIAN_POINT('',(13.8519234694421,-0.573169742975283,0.)); #38505=CARTESIAN_POINT('',(14.59176529,2.26802371000001,0.0200000000000006)); #38506=CARTESIAN_POINT('',(14.59176529,2.26802371000001,5.55111512312578E-16)); #38507=CARTESIAN_POINT('',(14.59176529,2.26802371000001,0.0200000000000006)); #38508=CARTESIAN_POINT('Origin',(14.59096429,2.28379771000001,5.55111512312578E-16)); #38509=CARTESIAN_POINT('',(14.59096429,2.28379771000001,5.55111512312578E-16)); #38510=CARTESIAN_POINT('',(14.6300368757921,1.51434581451303,0.)); #38511=CARTESIAN_POINT('',(14.59096429,2.28379771000001,0.0200000000000006)); #38512=CARTESIAN_POINT('',(14.59096429,2.28379771000001,5.55111512312578E-16)); #38513=CARTESIAN_POINT('',(14.59096429,2.28379771000001,0.0200000000000006)); #38514=CARTESIAN_POINT('Origin',(14.58589329,2.29856271000001,5.55111512312578E-16)); #38515=CARTESIAN_POINT('',(14.58589329,2.29856271000001,5.55111512312578E-16)); #38516=CARTESIAN_POINT('',(14.169481808361,3.51100907687015,0.)); #38517=CARTESIAN_POINT('',(14.58589329,2.29856271000001,0.0200000000000006)); #38518=CARTESIAN_POINT('',(14.58589329,2.29856271000001,5.55111512312578E-16)); #38519=CARTESIAN_POINT('',(14.58589329,2.29856271000001,0.0200000000000006)); #38520=CARTESIAN_POINT('Origin',(14.57750829,2.31251571,5.55111512312578E-16)); #38521=CARTESIAN_POINT('',(14.57750829,2.31251571,5.55111512312578E-16)); #38522=CARTESIAN_POINT('',(13.1541503479338,4.68104443577821,6.16297582203915E-32)); #38523=CARTESIAN_POINT('',(14.57750829,2.31251571,0.0200000000000006)); #38524=CARTESIAN_POINT('',(14.57750829,2.31251571,5.55111512312578E-16)); #38525=CARTESIAN_POINT('',(14.57750829,2.31251571,0.0200000000000006)); #38526=CARTESIAN_POINT('Origin',(16.4045452346462,3.95110926203138,5.55111512312578E-16)); #38527=CARTESIAN_POINT('',(14.51096329,2.38989171,5.55111512312578E-16)); #38528=CARTESIAN_POINT('Origin',(16.4045452346462,3.95110926203138,2.22757664772345E-17)); #38529=CARTESIAN_POINT('',(14.51096329,2.38989171,0.0200000000000006)); #38530=CARTESIAN_POINT('',(14.51096329,2.38989171,5.55111512312578E-16)); #38531=CARTESIAN_POINT('Origin',(16.4045452346462,3.95110926203138,0.0200000000000006)); #38532=CARTESIAN_POINT('Origin',(14.50803529,2.39663271000001,5.55111512312578E-16)); #38533=CARTESIAN_POINT('',(14.50803529,2.39663271000001,5.55111512312578E-16)); #38534=CARTESIAN_POINT('',(13.7945555897366,4.0392442740295,0.)); #38535=CARTESIAN_POINT('',(14.50803529,2.39663271000001,0.0200000000000006)); #38536=CARTESIAN_POINT('',(14.50803529,2.39663271000001,5.55111512312578E-16)); #38537=CARTESIAN_POINT('',(14.50803529,2.39663271000001,0.0200000000000006)); #38538=CARTESIAN_POINT('Origin',(14.50666729,2.39883871,5.55111512312578E-16)); #38539=CARTESIAN_POINT('',(14.50666729,2.39883871,5.55111512312578E-16)); #38540=CARTESIAN_POINT('',(13.0292775344377,4.78123768716792,-6.16297582203915E-32)); #38541=CARTESIAN_POINT('',(14.50666729,2.39883871,0.0200000000000006)); #38542=CARTESIAN_POINT('',(14.50666729,2.39883871,5.55111512312578E-16)); #38543=CARTESIAN_POINT('',(14.50666729,2.39883871,0.0200000000000006)); #38544=CARTESIAN_POINT('Origin',(14.02798929,2.97340571000001,5.55111512312578E-16)); #38545=CARTESIAN_POINT('',(14.02798929,2.97340571000001,5.55111512312578E-16)); #38546=CARTESIAN_POINT('',(11.8854373697242,5.54515462926745,0.)); #38547=CARTESIAN_POINT('',(14.02798929,2.97340571000001,0.0200000000000006)); #38548=CARTESIAN_POINT('',(14.02798929,2.97340571000001,5.55111512312578E-16)); #38549=CARTESIAN_POINT('',(14.02798929,2.97340571000001,0.0200000000000006)); #38550=CARTESIAN_POINT('Origin',(13.47902429,2.89873471,5.55111512312578E-16)); #38551=CARTESIAN_POINT('',(13.47902429,2.89873471,5.55111512312578E-16)); #38552=CARTESIAN_POINT('',(6.66837656406282,1.97234072068651,0.)); #38553=CARTESIAN_POINT('',(13.47902429,2.89873471,0.0200000000000006)); #38554=CARTESIAN_POINT('',(13.47902429,2.89873471,5.55111512312578E-16)); #38555=CARTESIAN_POINT('',(13.47902429,2.89873471,0.0200000000000006)); #38556=CARTESIAN_POINT('Origin',(13.47744929,2.89925071000001,5.55111512312578E-16)); #38557=CARTESIAN_POINT('',(13.47744929,2.89925071000001,5.55111512312578E-16)); #38558=CARTESIAN_POINT('',(7.82080151513423,4.75247626672836,-6.16297582203915E-32)); #38559=CARTESIAN_POINT('',(13.47744929,2.89925071000001,0.0200000000000006)); #38560=CARTESIAN_POINT('',(13.47744929,2.89925071000001,5.55111512312578E-16)); #38561=CARTESIAN_POINT('',(13.47744929,2.89925071000001,0.0200000000000006)); #38562=CARTESIAN_POINT('Origin',(13.33293229,3.04366871,5.55111512312578E-16)); #38563=CARTESIAN_POINT('',(13.33293229,3.04366871,5.55111512312578E-16)); #38564=CARTESIAN_POINT('',(10.7583320345272,5.61650525998975,0.)); #38565=CARTESIAN_POINT('',(13.33293229,3.04366871,0.0200000000000006)); #38566=CARTESIAN_POINT('',(13.33293229,3.04366871,5.55111512312578E-16)); #38567=CARTESIAN_POINT('',(13.33293229,3.04366871,0.0200000000000006)); #38568=CARTESIAN_POINT('Origin',(13.39566029,3.07979271000001,5.55111512312578E-16)); #38569=CARTESIAN_POINT('',(13.39566029,3.07979271000001,5.55111512312578E-16)); #38570=CARTESIAN_POINT('',(7.69995996616338,-0.200265294372552,0.)); #38571=CARTESIAN_POINT('',(13.39566029,3.07979271000001,0.0200000000000006)); #38572=CARTESIAN_POINT('',(13.39566029,3.07979271000001,5.55111512312578E-16)); #38573=CARTESIAN_POINT('',(13.39566029,3.07979271000001,0.0200000000000006)); #38574=CARTESIAN_POINT('Origin',(13.78769829,3.30419671,5.55111512312578E-16)); #38575=CARTESIAN_POINT('',(13.78769829,3.30419671,5.55111512312578E-16)); #38576=CARTESIAN_POINT('',(7.88287395896637,-0.0757465587169837,-6.16297582203915E-32)); #38577=CARTESIAN_POINT('',(13.78769829,3.30419671,0.0200000000000006)); #38578=CARTESIAN_POINT('',(13.78769829,3.30419671,5.55111512312578E-16)); #38579=CARTESIAN_POINT('',(13.78769829,3.30419671,0.0200000000000006)); #38580=CARTESIAN_POINT('Origin',(13.78106729,3.31816271000001,5.55111512312578E-16)); #38581=CARTESIAN_POINT('',(13.78106729,3.31816271000001,5.55111512312578E-16)); #38582=CARTESIAN_POINT('',(13.1562945158225,4.6340391334908,0.)); #38583=CARTESIAN_POINT('',(13.78106729,3.31816271000001,0.0200000000000006)); #38584=CARTESIAN_POINT('',(13.78106729,3.31816271000001,5.55111512312578E-16)); #38585=CARTESIAN_POINT('',(13.78106729,3.31816271000001,0.0200000000000006)); #38586=CARTESIAN_POINT('Origin',(13.74069829,3.40009671000001,5.55111512312578E-16)); #38587=CARTESIAN_POINT('',(13.74069829,3.40009671000001,5.55111512312578E-16)); #38588=CARTESIAN_POINT('',(13.0726715746108,4.75594156369213,-6.16297582203915E-32)); #38589=CARTESIAN_POINT('',(13.74069829,3.40009671000001,0.0200000000000006)); #38590=CARTESIAN_POINT('',(13.74069829,3.40009671000001,5.55111512312578E-16)); #38591=CARTESIAN_POINT('',(13.74069829,3.40009671000001,0.0200000000000006)); #38592=CARTESIAN_POINT('Origin',(13.76459329,3.42410771000001,5.55111512312578E-16)); #38593=CARTESIAN_POINT('',(13.76459329,3.42410771000001,5.55111512312578E-16)); #38594=CARTESIAN_POINT('',(9.48409283260691,-0.877172745427956,0.)); #38595=CARTESIAN_POINT('',(13.76459329,3.42410771000001,0.0200000000000006)); #38596=CARTESIAN_POINT('',(13.76459329,3.42410771000001,5.55111512312578E-16)); #38597=CARTESIAN_POINT('',(13.76459329,3.42410771000001,0.0200000000000006)); #38598=CARTESIAN_POINT('Origin',(13.76567429,3.42356271,5.55111512312578E-16)); #38599=CARTESIAN_POINT('',(13.76567429,3.42356271,5.55111512312578E-16)); #38600=CARTESIAN_POINT('',(8.96586886707387,5.84344611011413,0.)); #38601=CARTESIAN_POINT('',(13.76567429,3.42356271,0.0200000000000006)); #38602=CARTESIAN_POINT('',(13.76567429,3.42356271,5.55111512312578E-16)); #38603=CARTESIAN_POINT('',(13.76567429,3.42356271,0.0200000000000006)); #38604=CARTESIAN_POINT('Origin',(13.8997293494224,3.57369357371017,5.55111512312578E-16)); #38605=CARTESIAN_POINT('',(13.85990529,3.37640171,5.55111512312578E-16)); #38606=CARTESIAN_POINT('Origin',(13.8997293494224,3.57369357371017,2.0147952949353E-17)); #38607=CARTESIAN_POINT('',(13.85990529,3.37640171,0.0200000000000006)); #38608=CARTESIAN_POINT('',(13.85990529,3.37640171,5.55111512312578E-16)); #38609=CARTESIAN_POINT('Origin',(13.8997293494224,3.57369357371017,0.0200000000000006)); #38610=CARTESIAN_POINT('Origin',(100.053474644489,-45.8120795465275,5.55111512312578E-16)); #38611=CARTESIAN_POINT('',(14.12183329,3.83256871,5.55111512312578E-16)); #38612=CARTESIAN_POINT('Origin',(100.053474644489,-45.8120795465275,-2.58281692086204E-16)); #38613=CARTESIAN_POINT('',(14.12183329,3.83256871,0.0200000000000006)); #38614=CARTESIAN_POINT('',(14.12183329,3.83256871,5.55111512312578E-16)); #38615=CARTESIAN_POINT('Origin',(100.053474644489,-45.8120795465275,0.0200000000000006)); #38616=CARTESIAN_POINT('Origin',(14.26635029,3.68815071000001,5.55111512312578E-16)); #38617=CARTESIAN_POINT('',(14.26635029,3.68815071000001,5.55111512312578E-16)); #38618=CARTESIAN_POINT('',(11.6193560846547,6.33333161707345,0.)); #38619=CARTESIAN_POINT('',(14.26635029,3.68815071000001,0.0200000000000006)); #38620=CARTESIAN_POINT('',(14.26635029,3.68815071000001,5.55111512312578E-16)); #38621=CARTESIAN_POINT('',(14.26635029,3.68815071000001,0.0200000000000006)); #38622=CARTESIAN_POINT('Origin',(14.26686929,3.68657971000001,5.55111512312578E-16)); #38623=CARTESIAN_POINT('',(14.26686929,3.68657971000001,5.55111512312578E-16)); #38624=CARTESIAN_POINT('',(14.1139708302845,4.14939951773329,0.)); #38625=CARTESIAN_POINT('',(14.26686929,3.68657971000001,0.0200000000000006)); #38626=CARTESIAN_POINT('',(14.26686929,3.68657971000001,5.55111512312578E-16)); #38627=CARTESIAN_POINT('',(14.26686929,3.68657971000001,0.0200000000000006)); #38628=CARTESIAN_POINT('Origin',(14.19429429,3.13971171000001,5.55111512312578E-16)); #38629=CARTESIAN_POINT('',(14.19429429,3.13971171000001,5.55111512312578E-16)); #38630=CARTESIAN_POINT('',(13.8667322555388,0.671462386379856,0.)); #38631=CARTESIAN_POINT('',(14.19429429,3.13971171000001,0.0200000000000006)); #38632=CARTESIAN_POINT('',(14.19429429,3.13971171000001,5.55111512312578E-16)); #38633=CARTESIAN_POINT('',(14.19429429,3.13971171000001,0.0200000000000006)); #38634=CARTESIAN_POINT('Origin',(14.68692329,2.69457171,5.55111512312578E-16)); #38635=CARTESIAN_POINT('',(14.68692329,2.69457171,5.55111512312578E-16)); #38636=CARTESIAN_POINT('',(11.3144645462318,5.74192841291639,0.)); #38637=CARTESIAN_POINT('',(14.68692329,2.69457171,0.0200000000000006)); #38638=CARTESIAN_POINT('',(14.68692329,2.69457171,5.55111512312578E-16)); #38639=CARTESIAN_POINT('',(14.68692329,2.69457171,0.0200000000000006)); #38640=CARTESIAN_POINT('Origin',(15.0720654395556,3.03326098558879,5.55111512312578E-16)); #38641=CARTESIAN_POINT('',(14.84046129,2.57565371000001,5.55111512312578E-16)); #38642=CARTESIAN_POINT('Origin',(15.0720654395556,3.03326098558879,1.71010743815127E-17)); #38643=CARTESIAN_POINT('',(14.84046129,2.57565371000001,0.0200000000000006)); #38644=CARTESIAN_POINT('',(14.84046129,2.57565371000001,5.55111512312578E-16)); #38645=CARTESIAN_POINT('Origin',(15.0720654395556,3.03326098558879,0.0200000000000006)); #38646=CARTESIAN_POINT('Origin',(14.85643529,2.57224071,5.55111512312578E-16)); #38647=CARTESIAN_POINT('',(14.85643529,2.57224071,5.55111512312578E-16)); #38648=CARTESIAN_POINT('',(8.01531027607299,4.03391340766778,0.)); #38649=CARTESIAN_POINT('',(14.85643529,2.57224071,0.0200000000000006)); #38650=CARTESIAN_POINT('',(14.85643529,2.57224071,5.55111512312578E-16)); #38651=CARTESIAN_POINT('',(14.85643529,2.57224071,0.0200000000000006)); #38652=CARTESIAN_POINT('Origin',(14.87047429,2.57256871,5.55111512312578E-16)); #38653=CARTESIAN_POINT('',(14.87047429,2.57256871,5.55111512312578E-16)); #38654=CARTESIAN_POINT('',(7.40925778066212,2.39824867188713,6.16297582203915E-32)); #38655=CARTESIAN_POINT('',(14.87047429,2.57256871,0.0200000000000006)); #38656=CARTESIAN_POINT('',(14.87047429,2.57256871,5.55111512312578E-16)); #38657=CARTESIAN_POINT('',(14.87047429,2.57256871,0.0200000000000006)); #38658=CARTESIAN_POINT('Origin',(14.2478715740329,3.33841835223611,5.55111512312578E-16)); #38659=CARTESIAN_POINT('',(15.15655329,2.95311671000001,5.55111512312578E-16)); #38660=CARTESIAN_POINT('Origin',(14.2478715740329,3.33841835223611,1.88215062368313E-17)); #38661=CARTESIAN_POINT('',(15.15655329,2.95311671000001,0.0200000000000006)); #38662=CARTESIAN_POINT('',(15.15655329,2.95311671000001,5.55111512312578E-16)); #38663=CARTESIAN_POINT('Origin',(14.2478715740329,3.33841835223611,0.0200000000000006)); #38664=CARTESIAN_POINT('Origin',(15.50830829,3.87828371000001,5.55111512312578E-16)); #38665=CARTESIAN_POINT('',(15.50830829,3.87828371000001,5.55111512312578E-16)); #38666=CARTESIAN_POINT('',(13.8848024186241,-0.391773734821745,0.)); #38667=CARTESIAN_POINT('',(15.50830829,3.87828371000001,0.0200000000000006)); #38668=CARTESIAN_POINT('',(15.50830829,3.87828371000001,5.55111512312578E-16)); #38669=CARTESIAN_POINT('',(15.50830829,3.87828371000001,0.0200000000000006)); #38670=CARTESIAN_POINT('Origin',(15.50864429,3.87825771000001,5.55111512312578E-16)); #38671=CARTESIAN_POINT('',(15.50864429,3.87825771000001,5.55111512312578E-16)); #38672=CARTESIAN_POINT('',(7.94963570335222,4.46318099353105,0.)); #38673=CARTESIAN_POINT('',(15.50864429,3.87825771000001,0.0200000000000006)); #38674=CARTESIAN_POINT('',(15.50864429,3.87825771000001,5.55111512312578E-16)); #38675=CARTESIAN_POINT('',(15.50864429,3.87825771000001,0.0200000000000006)); #38676=CARTESIAN_POINT('Origin',(15.0594905818208,3.26356223955436,5.55111512312578E-16)); #38677=CARTESIAN_POINT('',(15.68743829,3.69399371000001,5.55111512312578E-16)); #38678=CARTESIAN_POINT('Origin',(15.0594905818208,3.26356223955436,1.83994786048657E-17)); #38679=CARTESIAN_POINT('',(15.68743829,3.69399371000001,0.0200000000000006)); #38680=CARTESIAN_POINT('',(15.68743829,3.69399371000001,5.55111512312578E-16)); #38681=CARTESIAN_POINT('Origin',(15.0594905818208,3.26356223955436,0.0200000000000006)); #38682=CARTESIAN_POINT('Origin',(14.8882720874968,3.57035478190826,5.55111512312578E-16)); #38683=CARTESIAN_POINT('',(15.63972129,3.27156171000001,5.55111512312578E-16)); #38684=CARTESIAN_POINT('Origin',(14.8882720874968,3.57035478190826,2.01291293376625E-17)); #38685=CARTESIAN_POINT('',(15.63972129,3.27156171000001,0.0200000000000006)); #38686=CARTESIAN_POINT('',(15.63972129,3.27156171000001,5.55111512312578E-16)); #38687=CARTESIAN_POINT('Origin',(14.8882720874968,3.57035478190826,0.0200000000000006)); #38688=CARTESIAN_POINT('Origin',(15.62939729,3.23450371000001,5.55111512312578E-16)); #38689=CARTESIAN_POINT('',(15.62939729,3.23450371000001,5.55111512312578E-16)); #38690=CARTESIAN_POINT('',(14.6484607728048,-0.286568108502213,-3.08148791101958E-32)); #38691=CARTESIAN_POINT('',(15.62939729,3.23450371000001,0.0200000000000006)); #38692=CARTESIAN_POINT('',(15.62939729,3.23450371000001,5.55111512312578E-16)); #38693=CARTESIAN_POINT('',(15.62939729,3.23450371000001,0.0200000000000006)); #38694=CARTESIAN_POINT('Origin',(15.77258029,3.09122071000001,5.55111512312578E-16)); #38695=CARTESIAN_POINT('',(15.77258029,3.09122071000001,5.55111512312578E-16)); #38696=CARTESIAN_POINT('',(12.6049931648364,6.26102009997505,0.)); #38697=CARTESIAN_POINT('',(15.77258029,3.09122071000001,0.0200000000000006)); #38698=CARTESIAN_POINT('',(15.77258029,3.09122071000001,5.55111512312578E-16)); #38699=CARTESIAN_POINT('',(15.77258029,3.09122071000001,0.0200000000000006)); #38700=CARTESIAN_POINT('Origin',(15.6771276576114,2.97982940779892,5.55111512312578E-16)); #38701=CARTESIAN_POINT('',(15.82381729,2.98100371000001,5.55111512312578E-16)); #38702=CARTESIAN_POINT('Origin',(15.6771276576114,2.97982940779892,1.67998350913733E-17)); #38703=CARTESIAN_POINT('',(15.82381729,2.98100371000001,0.0200000000000006)); #38704=CARTESIAN_POINT('',(15.82381729,2.98100371000001,5.55111512312578E-16)); #38705=CARTESIAN_POINT('Origin',(15.6771276576114,2.97982940779892,0.0200000000000006)); #38706=CARTESIAN_POINT('Origin',(15.82062729,2.96352371000001,5.55111512312578E-16)); #38707=CARTESIAN_POINT('',(15.82062729,2.96352371000001,5.55111512312578E-16)); #38708=CARTESIAN_POINT('',(15.3039745483643,0.132461037966568,-3.08148791101958E-32)); #38709=CARTESIAN_POINT('',(15.82062729,2.96352371000001,0.0200000000000006)); #38710=CARTESIAN_POINT('',(15.82062729,2.96352371000001,5.55111512312578E-16)); #38711=CARTESIAN_POINT('',(15.82062729,2.96352371000001,0.0200000000000006)); #38712=CARTESIAN_POINT('Origin',(15.81416929,2.94801871000001,5.55111512312578E-16)); #38713=CARTESIAN_POINT('',(15.81416929,2.94801871000001,5.55111512312578E-16)); #38714=CARTESIAN_POINT('',(14.1220487368928,-1.11459032932234,3.08148791101958E-32)); #38715=CARTESIAN_POINT('',(15.81416929,2.94801871000001,0.0200000000000006)); #38716=CARTESIAN_POINT('',(15.81416929,2.94801871000001,5.55111512312578E-16)); #38717=CARTESIAN_POINT('',(15.81416929,2.94801871000001,0.0200000000000006)); #38718=CARTESIAN_POINT('Origin',(15.80483729,2.93480671000001,5.55111512312578E-16)); #38719=CARTESIAN_POINT('',(15.80483729,2.93480671000001,5.55111512312578E-16)); #38720=CARTESIAN_POINT('',(12.4830827047822,-1.76804600966131,0.)); #38721=CARTESIAN_POINT('',(15.80483729,2.93480671000001,0.0200000000000006)); #38722=CARTESIAN_POINT('',(15.80483729,2.93480671000001,5.55111512312578E-16)); #38723=CARTESIAN_POINT('',(15.80483729,2.93480671000001,0.0200000000000006)); #38724=CARTESIAN_POINT('Origin',(15.79302729,2.92421271000001,5.55111512312578E-16)); #38725=CARTESIAN_POINT('',(15.79302729,2.92421271000001,5.55111512312578E-16)); #38726=CARTESIAN_POINT('',(10.6906793618277,-1.65277915715154,-3.08148791101958E-32)); #38727=CARTESIAN_POINT('',(15.79302729,2.92421271000001,0.0200000000000006)); #38728=CARTESIAN_POINT('',(15.79302729,2.92421271000001,5.55111512312578E-16)); #38729=CARTESIAN_POINT('',(15.79302729,2.92421271000001,0.0200000000000006)); #38730=CARTESIAN_POINT('Origin',(15.77913029,2.91655371,5.55111512312578E-16)); #38731=CARTESIAN_POINT('',(15.77913029,2.91655371,5.55111512312578E-16)); #38732=CARTESIAN_POINT('',(9.11119076414985,-0.75832207819216,0.)); #38733=CARTESIAN_POINT('',(15.77913029,2.91655371,0.0200000000000006)); #38734=CARTESIAN_POINT('',(15.77913029,2.91655371,5.55111512312578E-16)); #38735=CARTESIAN_POINT('',(15.77913029,2.91655371,0.0200000000000006)); #38736=CARTESIAN_POINT('Origin',(15.76354229,2.91215271,5.55111512312578E-16)); #38737=CARTESIAN_POINT('',(15.76354229,2.91215271,5.55111512312578E-16)); #38738=CARTESIAN_POINT('',(8.08290949135939,0.7436599625778,-3.08148791101958E-32)); #38739=CARTESIAN_POINT('',(15.76354229,2.91215271,0.0200000000000006)); #38740=CARTESIAN_POINT('',(15.76354229,2.91215271,5.55111512312578E-16)); #38741=CARTESIAN_POINT('',(15.76354229,2.91215271,0.0200000000000006)); #38742=CARTESIAN_POINT('Origin',(15.74665729,2.91132971000001,5.55111512312578E-16)); #38743=CARTESIAN_POINT('',(15.74665729,2.91132971000001,5.55111512312578E-16)); #38744=CARTESIAN_POINT('',(7.82120612805541,2.52503143897429,-6.16297582203915E-32)); #38745=CARTESIAN_POINT('',(15.74665729,2.91132971000001,0.0200000000000006)); #38746=CARTESIAN_POINT('',(15.74665729,2.91132971000001,5.55111512312578E-16)); #38747=CARTESIAN_POINT('',(15.74665729,2.91132971000001,0.0200000000000006)); #38748=CARTESIAN_POINT('Origin',(15.7583002541912,3.01970426704099,5.55111512312578E-16)); #38749=CARTESIAN_POINT('',(15.67702929,2.94707071000001,5.55111512312578E-16)); #38750=CARTESIAN_POINT('Origin',(15.7583002541912,3.01970426704099,1.70246436182659E-17)); #38751=CARTESIAN_POINT('',(15.67702929,2.94707071000001,0.0200000000000006)); #38752=CARTESIAN_POINT('',(15.67702929,2.94707071000001,5.55111512312578E-16)); #38753=CARTESIAN_POINT('Origin',(15.7583002541912,3.01970426704099,0.0200000000000006)); #38754=CARTESIAN_POINT('Origin',(15.60536829,3.01873171,5.55111512312578E-16)); #38755=CARTESIAN_POINT('',(15.60536829,3.01873171,5.55111512312578E-16)); #38756=CARTESIAN_POINT('',(12.4587091449998,6.16539085500005,-6.16297582203915E-32)); #38757=CARTESIAN_POINT('',(15.60536829,3.01873171,0.0200000000000006)); #38758=CARTESIAN_POINT('',(15.60536829,3.01873171,5.55111512312578E-16)); #38759=CARTESIAN_POINT('',(15.60536829,3.01873171,0.0200000000000006)); #38760=CARTESIAN_POINT('Origin',(15.60493329,3.01867171,5.55111512312578E-16)); #38761=CARTESIAN_POINT('',(15.60493329,3.01867171,5.55111512312578E-16)); #38762=CARTESIAN_POINT('',(7.74383946546538,1.93438290663252,-6.16297582203915E-32)); #38763=CARTESIAN_POINT('',(15.60493329,3.01867171,0.0200000000000006)); #38764=CARTESIAN_POINT('',(15.60493329,3.01867171,5.55111512312578E-16)); #38765=CARTESIAN_POINT('',(15.60493329,3.01867171,0.0200000000000006)); #38766=CARTESIAN_POINT('Origin',(15.55936629,2.68407871000001,5.55111512312578E-16)); #38767=CARTESIAN_POINT('',(15.55936629,2.68407871000001,5.55111512312578E-16)); #38768=CARTESIAN_POINT('',(15.2382665699459,0.326282088934993,3.08148791101958E-32)); #38769=CARTESIAN_POINT('',(15.55936629,2.68407871000001,0.0200000000000006)); #38770=CARTESIAN_POINT('',(15.55936629,2.68407871000001,5.55111512312578E-16)); #38771=CARTESIAN_POINT('',(15.55936629,2.68407871,0.0200000000000006)); #38772=CARTESIAN_POINT('Origin',(15.2853428368253,2.26231396520988,5.55111512312578E-16)); #38773=CARTESIAN_POINT('',(15.74081929,2.47565771000001,5.55111512312578E-16)); #38774=CARTESIAN_POINT('Origin',(15.2853428368253,2.26231396520988,1.27545897228092E-17)); #38775=CARTESIAN_POINT('',(15.74081929,2.47565771000001,0.0200000000000006)); #38776=CARTESIAN_POINT('',(15.74081929,2.47565771000001,5.55111512312578E-16)); #38777=CARTESIAN_POINT('Origin',(15.2853428368253,2.26231396520988,0.0200000000000006)); #38778=CARTESIAN_POINT('Origin',(15.74207829,2.45522271000001,5.55111512312578E-16)); #38779=CARTESIAN_POINT('',(15.74207829,2.45522271000001,5.55111512312578E-16)); #38780=CARTESIAN_POINT('',(15.7876615684098,1.71535432691464,0.)); #38781=CARTESIAN_POINT('',(15.74207829,2.45522271000001,0.0200000000000006)); #38782=CARTESIAN_POINT('',(15.74207829,2.45522271000001,5.55111512312578E-16)); #38783=CARTESIAN_POINT('',(15.74207829,2.45522271000001,0.0200000000000006)); #38784=CARTESIAN_POINT('Origin',(15.73838829,2.43687871000001,5.55111512312578E-16)); #38785=CARTESIAN_POINT('',(15.73838829,2.43687871000001,5.55111512312578E-16)); #38786=CARTESIAN_POINT('',(15.1967912330073,-0.255548502323724,3.08148791101958E-32)); #38787=CARTESIAN_POINT('',(15.73838829,2.43687871000001,0.0200000000000006)); #38788=CARTESIAN_POINT('',(15.73838829,2.43687871000001,5.55111512312578E-16)); #38789=CARTESIAN_POINT('',(15.73838829,2.43687871000001,0.0200000000000006)); #38790=CARTESIAN_POINT('Origin',(15.73039029,2.42091971000001,5.55111512312578E-16)); #38791=CARTESIAN_POINT('',(15.73039029,2.42091971000001,5.55111512312578E-16)); #38792=CARTESIAN_POINT('',(13.6666583902158,-1.69699694274595,-3.08148791101958E-32)); #38793=CARTESIAN_POINT('',(15.73039029,2.42091971000001,0.0200000000000006)); #38794=CARTESIAN_POINT('',(15.73039029,2.42091971000001,5.55111512312578E-16)); #38795=CARTESIAN_POINT('',(15.73039029,2.42091971000001,0.0200000000000006)); #38796=CARTESIAN_POINT('Origin',(15.71873329,2.40763671000001,5.55111512312578E-16)); #38797=CARTESIAN_POINT('',(15.71873329,2.40763671000001,5.55111512312578E-16)); #38798=CARTESIAN_POINT('',(11.702470240825,-2.16884283724089,0.)); #38799=CARTESIAN_POINT('',(15.71873329,2.40763671000001,0.0200000000000006)); #38800=CARTESIAN_POINT('',(15.71873329,2.40763671000001,5.55111512312578E-16)); #38801=CARTESIAN_POINT('',(15.71873329,2.40763671000001,0.0200000000000006)); #38802=CARTESIAN_POINT('Origin',(15.6571141722409,2.48797291369133,5.55111512312578E-16)); #38803=CARTESIAN_POINT('',(15.58036929,2.42193471000001,5.55111512312578E-16)); #38804=CARTESIAN_POINT('Origin',(15.6571141722409,2.48797291369133,1.4026821318168E-17)); #38805=CARTESIAN_POINT('',(15.58036929,2.42193471000001,0.0200000000000006)); #38806=CARTESIAN_POINT('',(15.58036929,2.42193471000001,5.55111512312578E-16)); #38807=CARTESIAN_POINT('Origin',(15.6571141722409,2.48797291369133,0.0200000000000006)); #38808=CARTESIAN_POINT('Origin',(15.53603629,2.46636371000001,5.55111512312578E-16)); #38809=CARTESIAN_POINT('',(15.53603629,2.46636371000001,5.55111512312578E-16)); #38810=CARTESIAN_POINT('',(12.2770181453456,5.73243902971316,0.)); #38811=CARTESIAN_POINT('',(15.53603629,2.46636371000001,0.0200000000000006)); #38812=CARTESIAN_POINT('',(15.53603629,2.46636371000001,5.55111512312578E-16)); #38813=CARTESIAN_POINT('',(15.53603629,2.46636371000001,0.0200000000000006)); #38814=CARTESIAN_POINT('Origin',(15.46442429,1.96572171000001,5.55111512312578E-16)); #38815=CARTESIAN_POINT('',(15.46442429,1.96572171000001,5.55111512312578E-16)); #38816=CARTESIAN_POINT('',(15.1716212321471,-0.0812747220167112,0.)); #38817=CARTESIAN_POINT('',(15.46442429,1.96572171000001,0.0200000000000006)); #38818=CARTESIAN_POINT('',(15.46442429,1.96572171000001,5.55111512312578E-16)); #38819=CARTESIAN_POINT('',(15.46442429,1.96572171000001,0.0200000000000006)); #38820=CARTESIAN_POINT('Origin',(15.47899229,1.94921371000001,5.55111512312578E-16)); #38821=CARTESIAN_POINT('',(15.47899229,1.94921371000001,5.55111512312578E-16)); #38822=CARTESIAN_POINT('',(12.5740466362869,5.24100687663115,0.)); #38823=CARTESIAN_POINT('',(15.47899229,1.94921371000001,0.0200000000000006)); #38824=CARTESIAN_POINT('',(15.47899229,1.94921371000001,5.55111512312578E-16)); #38825=CARTESIAN_POINT('',(15.47899229,1.94921371000001,0.0200000000000006)); #38826=CARTESIAN_POINT('Origin',(15.87115029,1.51155571000001,5.55111512312578E-16)); #38827=CARTESIAN_POINT('',(15.87115029,1.51155571000001,5.55111512312578E-16)); #38828=CARTESIAN_POINT('',(12.7128009156237,5.03635150784367,0.)); #38829=CARTESIAN_POINT('',(15.87115029,1.51155571000001,0.0200000000000006)); #38830=CARTESIAN_POINT('',(15.87115029,1.51155571000001,5.55111512312578E-16)); #38831=CARTESIAN_POINT('',(15.87115029,1.51155571000001,0.0200000000000006)); #38832=CARTESIAN_POINT('Origin',(15.5760360931794,1.26255551450021,5.55111512312578E-16)); #38833=CARTESIAN_POINT('',(15.96214129,1.25852271000001,5.55111512312578E-16)); #38834=CARTESIAN_POINT('Origin',(15.5760360931794,1.26255551450021,7.11810024486437E-18)); #38835=CARTESIAN_POINT('',(15.96214129,1.25852271000001,0.0200000000000006)); #38836=CARTESIAN_POINT('',(15.96214129,1.25852271000001,5.55111512312578E-16)); #38837=CARTESIAN_POINT('Origin',(15.5760360931794,1.26255551450021,0.0200000000000006)); #38838=CARTESIAN_POINT('Origin',(15.95754329,1.24515971000001,5.55111512312578E-16)); #38839=CARTESIAN_POINT('',(15.95754329,1.24515971000001,5.55111512312578E-16)); #38840=CARTESIAN_POINT('',(14.9213616869282,-1.76625715860455,0.)); #38841=CARTESIAN_POINT('',(15.95754329,1.24515971000001,0.0200000000000006)); #38842=CARTESIAN_POINT('',(15.95754329,1.24515971000001,5.55111512312578E-16)); #38843=CARTESIAN_POINT('',(15.95754329,1.24515971000001,0.0200000000000006)); #38844=CARTESIAN_POINT('Origin',(15.95100329,1.23377771,5.55111512312578E-16)); #38845=CARTESIAN_POINT('',(15.95100329,1.23377771,5.55111512312578E-16)); #38846=CARTESIAN_POINT('',(13.7049396382155,-2.67519728764797,0.)); #38847=CARTESIAN_POINT('',(15.95100329,1.23377771,0.0200000000000006)); #38848=CARTESIAN_POINT('',(15.95100329,1.23377771,5.55111512312578E-16)); #38849=CARTESIAN_POINT('',(15.95100329,1.23377771,0.0200000000000006)); #38850=CARTESIAN_POINT('Origin',(15.94268129,1.22429271000001,5.55111512312578E-16)); #38851=CARTESIAN_POINT('',(15.94268129,1.22429271000001,5.55111512312578E-16)); #38852=CARTESIAN_POINT('',(12.1719459199526,-3.07340315456053,0.)); #38853=CARTESIAN_POINT('',(15.94268129,1.22429271000001,0.0200000000000006)); #38854=CARTESIAN_POINT('',(15.94268129,1.22429271000001,5.55111512312578E-16)); #38855=CARTESIAN_POINT('',(15.94268129,1.22429271000001,0.0200000000000006)); #38856=CARTESIAN_POINT('Origin',(15.8451958783594,1.43853589278747,5.55111512312578E-16)); #38857=CARTESIAN_POINT('',(15.65689329,1.29730671,5.55111512312578E-16)); #38858=CARTESIAN_POINT('Origin',(15.8451958783594,1.43853589278747,8.11025144882448E-18)); #38859=CARTESIAN_POINT('',(15.65689329,1.29730671,0.0200000000000006)); #38860=CARTESIAN_POINT('',(15.65689329,1.29730671,5.55111512312578E-16)); #38861=CARTESIAN_POINT('Origin',(15.8451958783594,1.43853589278747,0.0200000000000006)); #38862=CARTESIAN_POINT('Origin',(15.20214029,1.70329871000001,5.55111512312578E-16)); #38863=CARTESIAN_POINT('',(15.20214029,1.70329871000001,5.55111512312578E-16)); #38864=CARTESIAN_POINT('',(11.395484163948,5.10178521570332,0.)); #38865=CARTESIAN_POINT('',(15.20214029,1.70329871000001,0.0200000000000006)); #38866=CARTESIAN_POINT('',(15.20214029,1.70329871000001,5.55111512312578E-16)); #38867=CARTESIAN_POINT('',(15.20214029,1.70329871000001,0.0200000000000006)); #38868=CARTESIAN_POINT('Origin',(14.69842229,1.62887771000001,5.55111512312578E-16)); #38869=CARTESIAN_POINT('',(14.69842229,1.62887771000001,5.55111512312578E-16)); #38870=CARTESIAN_POINT('',(7.38844613291581,0.548877123190788,-3.08148791101958E-32)); #38871=CARTESIAN_POINT('',(14.69842229,1.62887771000001,0.0200000000000006)); #38872=CARTESIAN_POINT('',(14.69842229,1.62887771000001,5.55111512312578E-16)); #38873=CARTESIAN_POINT('',(14.69842229,1.62887771000001,0.0200000000000006)); #38874=CARTESIAN_POINT('Origin',(14.6416047387697,1.50203918823173,5.55111512312578E-16)); #38875=CARTESIAN_POINT('',(14.78058729,1.50234771,5.55111512312578E-16)); #38876=CARTESIAN_POINT('Origin',(14.6416047387697,1.50203918823173,8.46827358540386E-18)); #38877=CARTESIAN_POINT('',(14.78058729,1.50234771,0.0200000000000006)); #38878=CARTESIAN_POINT('',(14.78058729,1.50234771,5.55111512312578E-16)); #38879=CARTESIAN_POINT('Origin',(14.6416047387697,1.50203918823173,0.0200000000000006)); #38880=CARTESIAN_POINT('Origin',(14.77821529,1.48673871000001,5.55111512312578E-16)); #38881=CARTESIAN_POINT('',(14.77821529,1.48673871000001,5.55111512312578E-16)); #38882=CARTESIAN_POINT('',(14.501015348026,-0.337381818782733,-3.08148791101958E-32)); #38883=CARTESIAN_POINT('',(14.77821529,1.48673871000001,0.0200000000000006)); #38884=CARTESIAN_POINT('',(14.77821529,1.48673871000001,5.55111512312578E-16)); #38885=CARTESIAN_POINT('',(14.77821529,1.48673871000001,0.0200000000000006)); #38886=CARTESIAN_POINT('Origin',(14.77322929,1.47251271,5.55111512312578E-16)); #38887=CARTESIAN_POINT('',(13.7353102518605,-1.4888663988182,0.)); #38888=CARTESIAN_POINT('',(14.77322929,1.47251271,0.0200000000000006)); #38889=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #38890=CARTESIAN_POINT('Origin',(6.77112300655955,2.52648437627171,5.55111512312578E-16)); #38891=CARTESIAN_POINT('',(7.07484059,2.23610771000001,5.55111512312578E-16)); #38892=CARTESIAN_POINT('',(7.19070049,2.54923771000001,5.55111512312578E-16)); #38893=CARTESIAN_POINT('Origin',(6.77112300655955,2.52648437627171,1.42439432174232E-17)); #38894=CARTESIAN_POINT('',(7.07484059,2.23610771000001,0.0200000000000006)); #38895=CARTESIAN_POINT('',(7.07484059,2.23610771000001,5.55111512312578E-16)); #38896=CARTESIAN_POINT('',(7.19070049,2.54923771000001,0.0200000000000006)); #38897=CARTESIAN_POINT('Origin',(6.77112300655955,2.52648437627171,0.0200000000000006)); #38898=CARTESIAN_POINT('',(7.19070049,2.54923771000001,5.55111512312578E-16)); #38899=CARTESIAN_POINT('Origin',(6.77517891837183,2.53070792319079,5.55111512312578E-16)); #38900=CARTESIAN_POINT('',(6.76544999,2.11059871000001,5.55111512312578E-16)); #38901=CARTESIAN_POINT('Origin',(6.77517891837183,2.53070792319079,1.42677549468986E-17)); #38902=CARTESIAN_POINT('',(6.76544999,2.11059871000001,0.0200000000000006)); #38903=CARTESIAN_POINT('',(6.76544999,2.11059871000001,5.55111512312578E-16)); #38904=CARTESIAN_POINT('Origin',(6.77517891837183,2.53070792319079,0.0200000000000006)); #38905=CARTESIAN_POINT('Origin',(6.73254918999928,2.1059627099999,5.55111512312578E-16)); #38906=CARTESIAN_POINT('',(6.73254918999927,2.10596270999994,5.55111512312578E-16)); #38907=CARTESIAN_POINT('',(3.28632604593042,1.62036082506361,0.)); #38908=CARTESIAN_POINT('',(6.73254918999927,2.10596270999994,0.0200000000000006)); #38909=CARTESIAN_POINT('',(6.73254918999927,2.10596270999994,5.55111512312578E-16)); #38910=CARTESIAN_POINT('',(6.73254919,2.10596271,0.0200000000000006)); #38911=CARTESIAN_POINT('Origin',(10.438121649143,-45.3375697693158,5.55111512312578E-16)); #38912=CARTESIAN_POINT('',(6.00869699,2.04386371,5.55111512312578E-16)); #38913=CARTESIAN_POINT('Origin',(10.438121649143,-45.3375697693158,-2.55606476523348E-16)); #38914=CARTESIAN_POINT('',(6.00869699,2.04386371,0.0200000000000006)); #38915=CARTESIAN_POINT('',(6.00869699,2.04386371,5.55111512312578E-16)); #38916=CARTESIAN_POINT('Origin',(10.438121649143,-45.3375697693158,0.0200000000000006)); #38917=CARTESIAN_POINT('Origin',(5.99715327114611,1.82666891852623,5.55111512312578E-16)); #38918=CARTESIAN_POINT('',(5.83611679,1.97286771,5.55111512312578E-16)); #38919=CARTESIAN_POINT('Origin',(5.99715327114611,1.82666891852623,1.0298487731365E-17)); #38920=CARTESIAN_POINT('',(5.83611679,1.97286771,0.0200000000000006)); #38921=CARTESIAN_POINT('',(5.83611679,1.97286771,5.55111512312578E-16)); #38922=CARTESIAN_POINT('Origin',(5.99715327114611,1.82666891852623,0.0200000000000006)); #38923=CARTESIAN_POINT('Origin',(6.00037620948451,1.83018751347479,5.55111512312578E-16)); #38924=CARTESIAN_POINT('',(5.78598449,1.79310671,5.55111512312578E-16)); #38925=CARTESIAN_POINT('Origin',(6.00037620948451,1.83018751347479,1.03183250464591E-17)); #38926=CARTESIAN_POINT('',(5.78598449,1.79310671,0.0200000000000006)); #38927=CARTESIAN_POINT('',(5.78598449,1.79310671,5.55111512312578E-16)); #38928=CARTESIAN_POINT('Origin',(6.00037620948451,1.83018751347479,0.0200000000000006)); #38929=CARTESIAN_POINT('Origin',(5.98935515768358,1.76895005709213,5.55111512312578E-16)); #38930=CARTESIAN_POINT('',(5.95851759,1.56648471,5.55111512312578E-16)); #38931=CARTESIAN_POINT('Origin',(5.98935515768358,1.76895005709213,9.97307737357173E-18)); #38932=CARTESIAN_POINT('',(5.95851759,1.56648471,0.0200000000000006)); #38933=CARTESIAN_POINT('',(5.95851759,1.56648471,5.55111512312578E-16)); #38934=CARTESIAN_POINT('Origin',(5.98935515768358,1.76895005709213,0.0200000000000006)); #38935=CARTESIAN_POINT('Origin',(6.35861739931244,4.47014973472879,5.55111512312578E-16)); #38936=CARTESIAN_POINT('',(6.60992859,1.54984271000001,5.55111512312578E-16)); #38937=CARTESIAN_POINT('Origin',(6.35861739931244,4.47014973472879,2.52020394793879E-17)); #38938=CARTESIAN_POINT('',(6.60992859,1.54984271000001,0.0200000000000006)); #38939=CARTESIAN_POINT('',(6.60992859,1.54984271000001,5.55111512312578E-16)); #38940=CARTESIAN_POINT('Origin',(6.35861739931244,4.47014973472879,0.0200000000000006)); #38941=CARTESIAN_POINT('Origin',(6.65485808773787,1.81026636766318,5.55111512312578E-16)); #38942=CARTESIAN_POINT('',(6.80629969,1.59369171000001,5.55111512312578E-16)); #38943=CARTESIAN_POINT('Origin',(6.65485808773787,1.81026636766318,1.02060125887089E-17)); #38944=CARTESIAN_POINT('',(6.80629969,1.59369171000001,0.0200000000000006)); #38945=CARTESIAN_POINT('',(6.80629969,1.59369171000001,5.55111512312578E-16)); #38946=CARTESIAN_POINT('Origin',(6.65485808773787,1.81026636766318,0.0200000000000006)); #38947=CARTESIAN_POINT('Origin',(6.65282060513419,1.80884609639749,5.55111512312578E-16)); #38948=CARTESIAN_POINT('',(6.91329099,1.76409771000001,5.55111512312578E-16)); #38949=CARTESIAN_POINT('Origin',(6.65282060513419,1.80884609639749,1.01980053105116E-17)); #38950=CARTESIAN_POINT('',(6.91329099,1.76409771000001,0.0200000000000006)); #38951=CARTESIAN_POINT('',(6.91329099,1.76409771000001,5.55111512312578E-16)); #38952=CARTESIAN_POINT('Origin',(6.65282060513419,1.80884609639749,0.0200000000000006)); #38953=CARTESIAN_POINT('Origin',(6.91939449,1.80166271,5.55111512312578E-16)); #38954=CARTESIAN_POINT('',(6.91939449,1.80166271,5.55111512312578E-16)); #38955=CARTESIAN_POINT('',(6.68780923720406,0.376332977669549,3.08148791101958E-32)); #38956=CARTESIAN_POINT('',(6.91939449,1.80166271,0.0200000000000006)); #38957=CARTESIAN_POINT('',(6.91939449,1.80166271,5.55111512312578E-16)); #38958=CARTESIAN_POINT('',(6.91939449,1.80166271,0.0200000000000006)); #38959=CARTESIAN_POINT('Origin',(10.9434118527043,1.4341764900795,5.55111512312578E-16)); #38960=CARTESIAN_POINT('',(6.92650029,1.87256371000001,5.55111512312578E-16)); #38961=CARTESIAN_POINT('Origin',(10.9434118527043,1.4341764900795,8.08567378461347E-18)); #38962=CARTESIAN_POINT('',(6.92650029,1.87256371000001,0.0200000000000006)); #38963=CARTESIAN_POINT('',(6.92650029,1.87256371000001,5.55111512312578E-16)); #38964=CARTESIAN_POINT('Origin',(10.9434118527043,1.4341764900795,0.0200000000000006)); #38965=CARTESIAN_POINT('Origin',(6.93002369,1.88146771000001,5.55111512312578E-16)); #38966=CARTESIAN_POINT('',(6.93002369,1.88146771000001,5.55111512312578E-16)); #38967=CARTESIAN_POINT('',(6.13904871073151,-0.117408720552217,0.)); #38968=CARTESIAN_POINT('',(6.93002369,1.88146771000001,0.0200000000000006)); #38969=CARTESIAN_POINT('',(6.93002369,1.88146771000001,5.55111512312578E-16)); #38970=CARTESIAN_POINT('',(6.93002369,1.88146771000001,0.0200000000000006)); #38971=CARTESIAN_POINT('Origin',(6.93511199,1.88880271,5.55111512312578E-16)); #38972=CARTESIAN_POINT('',(6.93511199,1.88880271,5.55111512312578E-16)); #38973=CARTESIAN_POINT('',(5.36627478138785,-0.372742585120545,0.)); #38974=CARTESIAN_POINT('',(6.93511199,1.88880271,0.0200000000000006)); #38975=CARTESIAN_POINT('',(6.93511199,1.88880271,5.55111512312578E-16)); #38976=CARTESIAN_POINT('',(6.93511199,1.88880271,0.0200000000000006)); #38977=CARTESIAN_POINT('Origin',(6.94176769,1.89456871,5.55111512312578E-16)); #38978=CARTESIAN_POINT('',(6.94176769,1.89456871,5.55111512312578E-16)); #38979=CARTESIAN_POINT('',(4.49018641371781,-0.229297696094968,-6.16297582203915E-32)); #38980=CARTESIAN_POINT('',(6.94176769,1.89456871,0.0200000000000006)); #38981=CARTESIAN_POINT('',(6.94176769,1.89456871,5.55111512312578E-16)); #38982=CARTESIAN_POINT('',(6.94176769,1.89456871,0.0200000000000006)); #38983=CARTESIAN_POINT('Origin',(7.04441222331865,1.64407187250721,5.55111512312578E-16)); #38984=CARTESIAN_POINT('',(7.12685299,1.90192471,5.55111512312578E-16)); #38985=CARTESIAN_POINT('Origin',(7.04441222331865,1.64407187250721,9.26903273865208E-18)); #38986=CARTESIAN_POINT('',(7.12685299,1.90192471,0.0200000000000006)); #38987=CARTESIAN_POINT('',(7.12685299,1.90192471,5.55111512312578E-16)); #38988=CARTESIAN_POINT('Origin',(7.04441222331865,1.64407187250721,0.0200000000000006)); #38989=CARTESIAN_POINT('Origin',(7.13714599,1.89979471,5.55111512312578E-16)); #38990=CARTESIAN_POINT('',(7.13714599,1.89979471,5.55111512312578E-16)); #38991=CARTESIAN_POINT('',(3.90361076567315,2.56893199046408,0.)); #38992=CARTESIAN_POINT('',(7.13714599,1.89979471,0.0200000000000006)); #38993=CARTESIAN_POINT('',(7.13714599,1.89979471,5.55111512312578E-16)); #38994=CARTESIAN_POINT('',(7.13714599,1.89979471,0.0200000000000006)); #38995=CARTESIAN_POINT('Origin',(7.14587879,1.89610171000001,5.55111512312578E-16)); #38996=CARTESIAN_POINT('',(7.14587879,1.89610171000001,5.55111512312578E-16)); #38997=CARTESIAN_POINT('',(4.45506905107092,3.03401399080959,-6.16297582203915E-32)); #38998=CARTESIAN_POINT('',(7.14587879,1.89610171000001,0.0200000000000006)); #38999=CARTESIAN_POINT('',(7.14587879,1.89610171000001,5.55111512312578E-16)); #39000=CARTESIAN_POINT('',(7.14587879,1.89610171000001,0.0200000000000006)); #39001=CARTESIAN_POINT('Origin',(7.15304899,1.89084571000001,5.55111512312578E-16)); #39002=CARTESIAN_POINT('',(7.15304899,1.89084571000001,5.55111512312578E-16)); #39003=CARTESIAN_POINT('',(5.27740680068374,3.26575510542094,0.)); #39004=CARTESIAN_POINT('',(7.15304899,1.89084571000001,0.0200000000000006)); #39005=CARTESIAN_POINT('',(7.15304899,1.89084571000001,5.55111512312578E-16)); #39006=CARTESIAN_POINT('',(7.15304899,1.89084571000001,0.0200000000000006)); #39007=CARTESIAN_POINT('Origin',(7.15865659,1.88401871,5.55111512312578E-16)); #39008=CARTESIAN_POINT('',(7.15865659,1.88401871,5.55111512312578E-16)); #39009=CARTESIAN_POINT('',(6.17868803684395,3.07708620989309,0.)); #39010=CARTESIAN_POINT('',(7.15865659,1.88401871,0.0200000000000006)); #39011=CARTESIAN_POINT('',(7.15865659,1.88401871,5.55111512312578E-16)); #39012=CARTESIAN_POINT('',(7.15865659,1.88401871,0.0200000000000006)); #39013=CARTESIAN_POINT('Origin',(7.16269969,1.87562371000001,5.55111512312578E-16)); #39014=CARTESIAN_POINT('',(7.16269969,1.87562371000001,5.55111512312578E-16)); #39015=CARTESIAN_POINT('',(6.85503752732018,2.51444635987154,0.)); #39016=CARTESIAN_POINT('',(7.16269969,1.87562371000001,0.0200000000000006)); #39017=CARTESIAN_POINT('',(7.16269969,1.87562371000001,5.55111512312578E-16)); #39018=CARTESIAN_POINT('',(7.16269969,1.87562371000001,0.0200000000000006)); #39019=CARTESIAN_POINT('Origin',(7.05565330339579,1.84608057942655,5.55111512312578E-16)); #39020=CARTESIAN_POINT('',(7.16445589,1.82386071000001,5.55111512312578E-16)); #39021=CARTESIAN_POINT('Origin',(7.05565330339579,1.84608057942655,1.04079277889473E-17)); #39022=CARTESIAN_POINT('',(7.16445589,1.82386071000001,0.0200000000000006)); #39023=CARTESIAN_POINT('',(7.16445589,1.82386071000001,5.55111512312578E-16)); #39024=CARTESIAN_POINT('Origin',(7.05565330339579,1.84608057942655,0.0200000000000006)); #39025=CARTESIAN_POINT('Origin',(6.71273625101128,1.78084749593304,5.55111512312578E-16)); #39026=CARTESIAN_POINT('',(6.78440859,1.33278071,5.55111512312578E-16)); #39027=CARTESIAN_POINT('Origin',(6.71273625101128,1.78084749593304,1.0040153364571E-17)); #39028=CARTESIAN_POINT('',(6.78440859,1.33278071,0.0200000000000006)); #39029=CARTESIAN_POINT('',(6.78440859,1.33278071,5.55111512312578E-16)); #39030=CARTESIAN_POINT('Origin',(6.71273625101128,1.78084749593304,0.0200000000000006)); #39031=CARTESIAN_POINT('Origin',(6.34978773159376,4.45189910227034,5.55111512312578E-16)); #39032=CARTESIAN_POINT('',(6.02396059,1.31954671,5.55111512312578E-16)); #39033=CARTESIAN_POINT('Origin',(6.34978773159376,4.45189910227034,2.50991451275124E-17)); #39034=CARTESIAN_POINT('',(6.02396059,1.31954671,0.0200000000000006)); #39035=CARTESIAN_POINT('',(6.02396059,1.31954671,5.55111512312578E-16)); #39036=CARTESIAN_POINT('Origin',(6.34978773159376,4.45189910227034,0.0200000000000006)); #39037=CARTESIAN_POINT('Origin',(5.99465732563066,1.77290641125518,5.55111512312578E-16)); #39038=CARTESIAN_POINT('',(5.66761919,1.45756571,5.55111512312578E-16)); #39039=CARTESIAN_POINT('Origin',(5.99465732563066,1.77290641125518,9.995382710021E-18)); #39040=CARTESIAN_POINT('',(5.66761919,1.45756571,0.0200000000000006)); #39041=CARTESIAN_POINT('',(5.66761919,1.45756571,5.55111512312578E-16)); #39042=CARTESIAN_POINT('Origin',(5.99465732563066,1.77290641125518,0.0200000000000006)); #39043=CARTESIAN_POINT('Origin',(5.99773913848954,1.76962530190216,5.55111512312578E-16)); #39044=CARTESIAN_POINT('',(5.54626229,1.81991471000001,5.55111512312578E-16)); #39045=CARTESIAN_POINT('Origin',(5.99773913848954,1.76962530190216,9.97688430339973E-18)); #39046=CARTESIAN_POINT('',(5.54626229,1.81991471000001,0.0200000000000006)); #39047=CARTESIAN_POINT('',(5.54626229,1.81991471000001,5.55111512312578E-16)); #39048=CARTESIAN_POINT('Origin',(5.99773913848954,1.76962530190216,0.0200000000000006)); #39049=CARTESIAN_POINT('Origin',(5.95658606466057,1.8487428757933,5.55111512312578E-16)); #39050=CARTESIAN_POINT('',(5.65837579,2.13205771,5.55111512312578E-16)); #39051=CARTESIAN_POINT('Origin',(5.95658606466057,1.8487428757933,1.04229374199714E-17)); #39052=CARTESIAN_POINT('',(5.65837579,2.13205771,0.0200000000000006)); #39053=CARTESIAN_POINT('',(5.65837579,2.13205771,5.55111512312578E-16)); #39054=CARTESIAN_POINT('Origin',(5.95658606466057,1.8487428757933,0.0200000000000006)); #39055=CARTESIAN_POINT('Origin',(5.95180837090862,1.84393554012992,5.55111512312578E-16)); #39056=CARTESIAN_POINT('',(5.96643739,2.25491371,5.55111512312578E-16)); #39057=CARTESIAN_POINT('Origin',(5.95180837090862,1.84393554012992,1.03958343763669E-17)); #39058=CARTESIAN_POINT('',(5.96643739,2.25491371,0.0200000000000006)); #39059=CARTESIAN_POINT('',(5.96643739,2.25491371,5.55111512312578E-16)); #39060=CARTESIAN_POINT('Origin',(5.95180837090862,1.84393554012992,0.0200000000000006)); #39061=CARTESIAN_POINT('Origin',(6.03386209,2.26347071000001,5.55111512312578E-16)); #39062=CARTESIAN_POINT('',(6.03386209,2.26347071000001,5.55111512312578E-16)); #39063=CARTESIAN_POINT('',(2.92339941700358,1.86871583392583,0.)); #39064=CARTESIAN_POINT('',(6.03386209,2.26347071000001,0.0200000000000006)); #39065=CARTESIAN_POINT('',(6.03386209,2.26347071000001,5.55111512312578E-16)); #39066=CARTESIAN_POINT('',(6.03386209,2.26347071000001,0.0200000000000006)); #39067=CARTESIAN_POINT('Origin',(5.37053524701009,13.7894730328414,2.77555756156289E-16)); #39068=CARTESIAN_POINT('',(6.72486969,2.32411171000001,5.55111512312578E-16)); #39069=CARTESIAN_POINT('Origin',(5.37053524701009,13.7894730328414,7.77429984221118E-17)); #39070=CARTESIAN_POINT('',(6.72486969,2.32411171000001,0.0200000000000006)); #39071=CARTESIAN_POINT('',(6.72486969,2.32411171000001,5.55111512312578E-16)); #39072=CARTESIAN_POINT('Origin',(5.37053524701009,13.7894730328414,0.0200000000000003)); #39073=CARTESIAN_POINT('Origin',(6.73550230948329,2.54557029722907,5.55111512312578E-16)); #39074=CARTESIAN_POINT('',(6.89968629,2.39657171000001,5.55111512312578E-16)); #39075=CARTESIAN_POINT('Origin',(6.73550230948329,2.54557029722907,1.43515468016457E-17)); #39076=CARTESIAN_POINT('',(6.89968629,2.39657171000001,0.0200000000000006)); #39077=CARTESIAN_POINT('',(6.89968629,2.39657171000001,5.55111512312578E-16)); #39078=CARTESIAN_POINT('Origin',(6.73550230948329,2.54557029722907,0.0200000000000006)); #39079=CARTESIAN_POINT('Origin',(6.73226582999928,2.54207374496373,5.55111512312578E-16)); #39080=CARTESIAN_POINT('',(6.95102879,2.57872371000001,5.55111512312578E-16)); #39081=CARTESIAN_POINT('Origin',(6.73226582999928,2.54207374496373,1.43318337599218E-17)); #39082=CARTESIAN_POINT('',(6.95102879,2.57872371000001,0.0200000000000006)); #39083=CARTESIAN_POINT('',(6.95102879,2.57872371000001,5.55111512312578E-16)); #39084=CARTESIAN_POINT('Origin',(6.73226582999928,2.54207374496373,0.0200000000000006)); #39085=CARTESIAN_POINT('Origin',(6.74435182812702,2.60085726449689,5.55111512312578E-16)); #39086=CARTESIAN_POINT('',(6.77816869,2.80594671000001,5.55111512312578E-16)); #39087=CARTESIAN_POINT('Origin',(6.74435182812702,2.60085726449689,1.46632465017596E-17)); #39088=CARTESIAN_POINT('',(6.77816869,2.80594671000001,0.0200000000000006)); #39089=CARTESIAN_POINT('',(6.77816869,2.80594671000001,5.55111512312578E-16)); #39090=CARTESIAN_POINT('Origin',(6.74435182812702,2.60085726449689,0.0200000000000006)); #39091=CARTESIAN_POINT('Origin',(6.34551310120044,-0.508485144583779,5.55111512312578E-16)); #39092=CARTESIAN_POINT('',(6.04894539,2.82088371,5.55111512312578E-16)); #39093=CARTESIAN_POINT('Origin',(6.34551310120044,-0.508485144583779,-2.86676363185856E-18)); #39094=CARTESIAN_POINT('',(6.04894539,2.82088371,0.0200000000000006)); #39095=CARTESIAN_POINT('',(6.04894539,2.82088371,5.55111512312578E-16)); #39096=CARTESIAN_POINT('Origin',(6.34551310120044,-0.508485144583779,0.0200000000000006)); #39097=CARTESIAN_POINT('Origin',(6.03336351771265,2.56602061064468,5.55111512312578E-16)); #39098=CARTESIAN_POINT('',(5.86116119,2.75455271,5.55111512312578E-16)); #39099=CARTESIAN_POINT('Origin',(6.03336351771265,2.56602061064468,1.44668426276584E-17)); #39100=CARTESIAN_POINT('',(5.86116119,2.75455271,0.0200000000000006)); #39101=CARTESIAN_POINT('',(5.86116119,2.75455271,5.55111512312578E-16)); #39102=CARTESIAN_POINT('Origin',(6.03336351771265,2.56602061064468,0.0200000000000006)); #39103=CARTESIAN_POINT('Origin',(6.03587580772902,2.56843173050663,5.55111512312578E-16)); #39104=CARTESIAN_POINT('',(5.78063009,2.57241171,5.55111512312578E-16)); #39105=CARTESIAN_POINT('Origin',(6.03587580772902,2.56843173050663,1.44804361628992E-17)); #39106=CARTESIAN_POINT('',(5.78063009,2.57241171,0.0200000000000006)); #39107=CARTESIAN_POINT('',(5.78063009,2.57241171,5.55111512312578E-16)); #39108=CARTESIAN_POINT('Origin',(6.03587580772902,2.56843173050663,0.0200000000000006)); #39109=CARTESIAN_POINT('Origin',(5.77654219,2.53153771,5.55111512312578E-16)); #39110=CARTESIAN_POINT('',(5.77654219,2.53153771,5.55111512312578E-16)); #39111=CARTESIAN_POINT('',(5.62259984316637,0.992302531918638,0.)); #39112=CARTESIAN_POINT('',(5.77654219,2.53153771,0.0200000000000006)); #39113=CARTESIAN_POINT('',(5.77654219,2.53153771,5.55111512312578E-16)); #39114=CARTESIAN_POINT('',(5.77654219,2.53153771,0.0200000000000006)); #39115=CARTESIAN_POINT('Origin',(5.61511372278708,2.53067045204674,5.55111512312578E-16)); #39116=CARTESIAN_POINT('',(5.77461389,2.50577871,5.55111512312578E-16)); #39117=CARTESIAN_POINT('Origin',(5.61511372278708,2.53067045204674,1.42675436901605E-17)); #39118=CARTESIAN_POINT('',(5.77461389,2.50577871,0.0200000000000006)); #39119=CARTESIAN_POINT('',(5.77461389,2.50577871,5.55111512312578E-16)); #39120=CARTESIAN_POINT('Origin',(5.61511372278708,2.53067045204674,0.0200000000000006)); #39121=CARTESIAN_POINT('Origin',(5.77345939,2.50071471,5.55111512312578E-16)); #39122=CARTESIAN_POINT('',(5.77345939,2.50071471,5.55111512312578E-16)); #39123=CARTESIAN_POINT('',(5.35985801381689,0.686529028760856,3.08148791101958E-32)); #39124=CARTESIAN_POINT('',(5.77345939,2.50071471,0.0200000000000006)); #39125=CARTESIAN_POINT('',(5.77345939,2.50071471,5.55111512312578E-16)); #39126=CARTESIAN_POINT('',(5.77345939,2.50071471,0.0200000000000006)); #39127=CARTESIAN_POINT('Origin',(5.76997849,2.49176571,5.55111512312578E-16)); #39128=CARTESIAN_POINT('',(5.76997849,2.49176571,5.55111512312578E-16)); #39129=CARTESIAN_POINT('',(4.96991990936556,0.434905634127903,-3.08148791101958E-32)); #39130=CARTESIAN_POINT('',(5.76997849,2.49176571,0.0200000000000006)); #39131=CARTESIAN_POINT('',(5.76997849,2.49176571,5.55111512312578E-16)); #39132=CARTESIAN_POINT('',(5.76997849,2.49176571,0.0200000000000006)); #39133=CARTESIAN_POINT('Origin',(5.76493259,2.48438971000001,5.55111512312578E-16)); #39134=CARTESIAN_POINT('',(5.76493259,2.48438971000001,5.55111512312578E-16)); #39135=CARTESIAN_POINT('',(4.26713927596499,0.294944123620284,0.)); #39136=CARTESIAN_POINT('',(5.76493259,2.48438971000001,0.0200000000000006)); #39137=CARTESIAN_POINT('',(5.76493259,2.48438971000001,5.55111512312578E-16)); #39138=CARTESIAN_POINT('',(5.76493259,2.48438971000001,0.0200000000000006)); #39139=CARTESIAN_POINT('Origin',(5.75831889,2.47858171,5.55111512312578E-16)); #39140=CARTESIAN_POINT('',(5.75831889,2.47858171000001,5.55111512312578E-16)); #39141=CARTESIAN_POINT('',(3.5183192257753,0.511465262349937,0.)); #39142=CARTESIAN_POINT('',(5.75831889,2.47858171000001,0.0200000000000006)); #39143=CARTESIAN_POINT('',(5.75831889,2.47858171000001,5.55111512312578E-16)); #39144=CARTESIAN_POINT('',(5.75831889,2.47858171,0.0200000000000006)); #39145=CARTESIAN_POINT('Origin',(5.65562898667231,2.7254249958821,5.55111512312578E-16)); #39146=CARTESIAN_POINT('',(5.57333329,2.47105471,5.55111512312578E-16)); #39147=CARTESIAN_POINT('Origin',(5.65562898667231,2.7254249958821,1.53655408477047E-17)); #39148=CARTESIAN_POINT('',(5.57333329,2.47105471,0.0200000000000006)); #39149=CARTESIAN_POINT('',(5.57333329,2.47105471,5.55111512312578E-16)); #39150=CARTESIAN_POINT('Origin',(5.65562898667231,2.7254249958821,0.0200000000000006)); #39151=CARTESIAN_POINT('Origin',(5.56299779,2.47314171,5.55111512312578E-16)); #39152=CARTESIAN_POINT('',(5.56299779,2.47314171,5.55111512312578E-16)); #39153=CARTESIAN_POINT('',(3.13038115321212,2.96434880409075,0.)); #39154=CARTESIAN_POINT('',(5.56299779,2.47314171,0.0200000000000006)); #39155=CARTESIAN_POINT('',(5.56299779,2.47314171,5.55111512312578E-16)); #39156=CARTESIAN_POINT('',(5.56299779,2.47314171,0.0200000000000006)); #39157=CARTESIAN_POINT('Origin',(5.55422309,2.47679271,5.55111512312578E-16)); #39158=CARTESIAN_POINT('',(5.55422309,2.47679271,5.55111512312578E-16)); #39159=CARTESIAN_POINT('',(3.62617886015122,3.2790183682189,6.16297582203915E-32)); #39160=CARTESIAN_POINT('',(5.55422309,2.47679271,0.0200000000000006)); #39161=CARTESIAN_POINT('',(5.55422309,2.47679271,5.55111512312578E-16)); #39162=CARTESIAN_POINT('',(5.55422309,2.47679271,0.0200000000000006)); #39163=CARTESIAN_POINT('Origin',(5.54701039,2.48200771,5.55111512312578E-16)); #39164=CARTESIAN_POINT('',(5.54701039,2.48200771,5.55111512312578E-16)); #39165=CARTESIAN_POINT('',(4.31490139940657,3.37285973295209,0.)); #39166=CARTESIAN_POINT('',(5.54701039,2.48200771,0.0200000000000006)); #39167=CARTESIAN_POINT('',(5.54701039,2.48200771,5.55111512312578E-16)); #39168=CARTESIAN_POINT('',(5.54701039,2.48200771,0.0200000000000006)); #39169=CARTESIAN_POINT('Origin',(5.54136089,2.48879071,5.55111512312578E-16)); #39170=CARTESIAN_POINT('',(5.54136089,2.48879071,5.55111512312578E-16)); #39171=CARTESIAN_POINT('',(5.01848662954937,3.11657301084725,6.16297582203915E-32)); #39172=CARTESIAN_POINT('',(5.54136089,2.48879071,0.0200000000000006)); #39173=CARTESIAN_POINT('',(5.54136089,2.48879071,5.55111512312578E-16)); #39174=CARTESIAN_POINT('',(5.54136089,2.48879071,0.0200000000000006)); #39175=CARTESIAN_POINT('Origin',(5.6532643693621,2.53898550057319,5.55111512312578E-16)); #39176=CARTESIAN_POINT('',(5.53809639,2.58115471,5.55111512312578E-16)); #39177=CARTESIAN_POINT('Origin',(5.6532643693621,2.53898550057319,1.43144226972794E-17)); #39178=CARTESIAN_POINT('',(5.53809639,2.58115471,0.0200000000000006)); #39179=CARTESIAN_POINT('',(5.53809639,2.58115471,5.55111512312578E-16)); #39180=CARTESIAN_POINT('Origin',(5.6532643693621,2.53898550057319,0.0200000000000006)); #39181=CARTESIAN_POINT('Origin',(6.0275639666547,2.5740787305435,5.55111512312578E-16)); #39182=CARTESIAN_POINT('',(5.70334959,2.94083971,5.55111512312578E-16)); #39183=CARTESIAN_POINT('Origin',(6.0275639666547,2.5740787305435,1.45122731093807E-17)); #39184=CARTESIAN_POINT('',(5.70334959,2.94083971,0.0200000000000006)); #39185=CARTESIAN_POINT('',(5.70334959,2.94083971,5.55111512312578E-16)); #39186=CARTESIAN_POINT('Origin',(6.0275639666547,2.5740787305435,0.0200000000000006)); #39187=CARTESIAN_POINT('Origin',(6.02462250198178,2.57150527089907,5.55111512312578E-16)); #39188=CARTESIAN_POINT('',(6.08153629,3.05769971000001,5.55111512312578E-16)); #39189=CARTESIAN_POINT('Origin',(6.02462250198178,2.57150527089907,1.44977643265869E-17)); #39190=CARTESIAN_POINT('',(6.08153629,3.05769971000001,0.0200000000000006)); #39191=CARTESIAN_POINT('',(6.08153629,3.05769971000001,5.55111512312578E-16)); #39192=CARTESIAN_POINT('Origin',(6.02462250198178,2.57150527089907,0.0200000000000006)); #39193=CARTESIAN_POINT('Origin',(6.11909339,3.05820771000001,5.55111512312578E-16)); #39194=CARTESIAN_POINT('',(6.11909339,3.05820771000001,5.55111512312578E-16)); #39195=CARTESIAN_POINT('',(3.03942736679042,3.01655192880814,0.)); #39196=CARTESIAN_POINT('',(6.11909339,3.05820771000001,0.0200000000000006)); #39197=CARTESIAN_POINT('',(6.11909339,3.05820771000001,5.55111512312578E-16)); #39198=CARTESIAN_POINT('',(6.11909339,3.05820771000001,0.0200000000000006)); #39199=CARTESIAN_POINT('Origin',(6.36004320439097,-3.86337507651886,5.55111512312578E-16)); #39200=CARTESIAN_POINT('',(6.71489479,3.05330371000001,5.55111512312578E-16)); #39201=CARTESIAN_POINT('Origin',(6.36004320439097,-3.86337507651886,-2.17811341856581E-17)); #39202=CARTESIAN_POINT('',(6.71489479,3.05330371000001,0.0200000000000006)); #39203=CARTESIAN_POINT('',(6.71489479,3.05330371000001,5.55111512312578E-16)); #39204=CARTESIAN_POINT('Origin',(6.36004320439097,-3.86337507651886,0.0200000000000006)); #39205=CARTESIAN_POINT('Origin',(6.74112646149441,2.59898608945758,5.55111512312578E-16)); #39206=CARTESIAN_POINT('',(7.07081409,2.91267171000001,5.55111512312578E-16)); #39207=CARTESIAN_POINT('Origin',(6.74112646149441,2.59898608945758,1.46526970951375E-17)); #39208=CARTESIAN_POINT('',(7.07081409,2.91267171000001,0.0200000000000006)); #39209=CARTESIAN_POINT('',(7.07081409,2.91267171000001,5.55111512312578E-16)); #39210=CARTESIAN_POINT('Origin',(6.74112646149441,2.59898608945758,0.0200000000000006)); #39211=CARTESIAN_POINT('Origin',(6.73863237022813,2.60160400263157,5.55111512312578E-16)); #39212=CARTESIAN_POINT('Origin',(6.73863237022813,2.60160400263157,1.46674565003207E-17)); #39213=CARTESIAN_POINT('Origin',(6.73863237022813,2.60160400263157,0.0200000000000006)); #39214=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #39215=CARTESIAN_POINT('Origin',(2.75529859,1.53866371,5.55111512312578E-16)); #39216=CARTESIAN_POINT('',(2.75529859,1.53866371,5.55111512312578E-16)); #39217=CARTESIAN_POINT('',(2.74779339,1.54372371,5.55111512312578E-16)); #39218=CARTESIAN_POINT('',(2.16475886887691,1.93680513046629,6.16297582203915E-32)); #39219=CARTESIAN_POINT('',(2.75529859,1.53866371,0.0200000000000006)); #39220=CARTESIAN_POINT('',(2.75529859,1.53866371,5.55111512312578E-16)); #39221=CARTESIAN_POINT('',(2.74779339,1.54372371,0.0200000000000006)); #39222=CARTESIAN_POINT('',(2.75529859,1.53866371,0.0200000000000006)); #39223=CARTESIAN_POINT('',(2.74779339,1.54372371,5.55111512312578E-16)); #39224=CARTESIAN_POINT('Origin',(2.76120929,1.53200871000001,5.55111512312578E-16)); #39225=CARTESIAN_POINT('',(2.76120929,1.53200871000001,5.55111512312578E-16)); #39226=CARTESIAN_POINT('',(2.53272199932175,1.7892680734363,0.)); #39227=CARTESIAN_POINT('',(2.76120929,1.53200871000001,0.0200000000000006)); #39228=CARTESIAN_POINT('',(2.76120929,1.53200871000001,5.55111512312578E-16)); #39229=CARTESIAN_POINT('',(2.76120929,1.53200871000001,0.0200000000000006)); #39230=CARTESIAN_POINT('Origin',(2.52474380591704,1.43254118126594,5.55111512312578E-16)); #39231=CARTESIAN_POINT('',(2.76869679,1.35318971000001,5.55111512312578E-16)); #39232=CARTESIAN_POINT('Origin',(2.52474380591704,1.43254118126594,8.07645415669811E-18)); #39233=CARTESIAN_POINT('',(2.76869679,1.35318971000001,0.0200000000000006)); #39234=CARTESIAN_POINT('',(2.76869679,1.35318971000001,5.55111512312578E-16)); #39235=CARTESIAN_POINT('Origin',(2.52474380591704,1.43254118126594,0.0200000000000006)); #39236=CARTESIAN_POINT('Origin',(2.76640509,1.34292371,5.55111512312578E-16)); #39237=CARTESIAN_POINT('',(2.76640509,1.34292371,5.55111512312578E-16)); #39238=CARTESIAN_POINT('',(2.55797157558003,0.409215781808879,-3.08148791101958E-32)); #39239=CARTESIAN_POINT('',(2.76640509,1.34292371,0.0200000000000006)); #39240=CARTESIAN_POINT('',(2.76640509,1.34292371,5.55111512312578E-16)); #39241=CARTESIAN_POINT('',(2.76640509,1.34292371,0.0200000000000006)); #39242=CARTESIAN_POINT('Origin',(2.76251599,1.33425071,5.55111512312578E-16)); #39243=CARTESIAN_POINT('',(2.76251599,1.33425071,5.55111512312578E-16)); #39244=CARTESIAN_POINT('',(2.28220808337487,0.263126163405599,0.)); #39245=CARTESIAN_POINT('',(2.76251599,1.33425071,0.0200000000000006)); #39246=CARTESIAN_POINT('',(2.76251599,1.33425071,5.55111512312578E-16)); #39247=CARTESIAN_POINT('',(2.76251599,1.33425071,0.0200000000000006)); #39248=CARTESIAN_POINT('Origin',(2.75703069,1.32716971,5.55111512312578E-16)); #39249=CARTESIAN_POINT('',(2.75703069,1.32716971,5.55111512312578E-16)); #39250=CARTESIAN_POINT('',(1.91878033818272,0.245068322433338,-3.08148791101958E-32)); #39251=CARTESIAN_POINT('',(2.75703069,1.32716971,0.0200000000000006)); #39252=CARTESIAN_POINT('',(2.75703069,1.32716971,5.55111512312578E-16)); #39253=CARTESIAN_POINT('',(2.75703069,1.32716971,0.0200000000000006)); #39254=CARTESIAN_POINT('Origin',(2.74995069,1.32168471,5.55111512312578E-16)); #39255=CARTESIAN_POINT('',(2.74995069,1.32168471,5.55111512312578E-16)); #39256=CARTESIAN_POINT('',(1.57075024393973,0.408137471774178,0.)); #39257=CARTESIAN_POINT('',(2.74995069,1.32168471,0.0200000000000006)); #39258=CARTESIAN_POINT('',(2.74995069,1.32168471,5.55111512312578E-16)); #39259=CARTESIAN_POINT('',(2.74995069,1.32168471,0.0200000000000006)); #39260=CARTESIAN_POINT('Origin',(2.74127679,1.31779571,5.55111512312578E-16)); #39261=CARTESIAN_POINT('',(2.74127679,1.31779571,5.55111512312578E-16)); #39262=CARTESIAN_POINT('',(1.3540771033651,0.695835624995362,6.16297582203915E-32)); #39263=CARTESIAN_POINT('',(2.74127679,1.31779571,0.0200000000000006)); #39264=CARTESIAN_POINT('',(2.74127679,1.31779571,5.55111512312578E-16)); #39265=CARTESIAN_POINT('',(2.74127679,1.31779571,0.0200000000000006)); #39266=CARTESIAN_POINT('Origin',(2.73101069,1.31550371,5.55111512312578E-16)); #39267=CARTESIAN_POINT('',(2.73101069,1.31550371,5.55111512312578E-16)); #39268=CARTESIAN_POINT('',(1.29045996968143,0.993887687267687,0.)); #39269=CARTESIAN_POINT('',(2.73101069,1.31550371,0.0200000000000006)); #39270=CARTESIAN_POINT('',(2.73101069,1.31550371,5.55111512312578E-16)); #39271=CARTESIAN_POINT('',(2.73101069,1.31550371,0.0200000000000006)); #39272=CARTESIAN_POINT('Origin',(2.72528079,1.31495771,5.55111512312578E-16)); #39273=CARTESIAN_POINT('',(2.72528079,1.31495771,5.55111512312578E-16)); #39274=CARTESIAN_POINT('',(1.31281483295178,1.18036436412188,0.)); #39275=CARTESIAN_POINT('',(2.72528079,1.31495771,0.0200000000000006)); #39276=CARTESIAN_POINT('',(2.72528079,1.31495771,5.55111512312578E-16)); #39277=CARTESIAN_POINT('',(2.72528079,1.31495771,0.0200000000000006)); #39278=CARTESIAN_POINT('Origin',(2.00185562157088,28.0741466562336,2.77555756156289E-16)); #39279=CARTESIAN_POINT('',(1.28280848,1.31483971,5.55111512312578E-16)); #39280=CARTESIAN_POINT('Origin',(2.00185562157088,28.0741466562336,1.58277864135899E-16)); #39281=CARTESIAN_POINT('',(1.28280848,1.31483971,0.0200000000000006)); #39282=CARTESIAN_POINT('',(1.28280848,1.31483971,5.55111512312578E-16)); #39283=CARTESIAN_POINT('Origin',(2.00185562157088,28.0741466562336,0.0200000000000003)); #39284=CARTESIAN_POINT('Origin',(1.27687812,1.31519871000001,5.55111512312578E-16)); #39285=CARTESIAN_POINT('',(1.27687812,1.31519871000001,5.55111512312578E-16)); #39286=CARTESIAN_POINT('',(0.68043319737829,1.35130507238324,0.)); #39287=CARTESIAN_POINT('',(1.27687812,1.31519871000001,0.0200000000000006)); #39288=CARTESIAN_POINT('',(1.27687812,1.31519871000001,5.55111512312578E-16)); #39289=CARTESIAN_POINT('',(1.27687812,1.31519871000001,0.0200000000000006)); #39290=CARTESIAN_POINT('Origin',(1.27134967,1.31595871,5.55111512312578E-16)); #39291=CARTESIAN_POINT('',(1.27134967,1.31595871,5.55111512312578E-16)); #39292=CARTESIAN_POINT('',(0.736240333048137,1.38952057563744,0.)); #39293=CARTESIAN_POINT('',(1.27134967,1.31595871,0.0200000000000006)); #39294=CARTESIAN_POINT('',(1.27134967,1.31595871,5.55111512312578E-16)); #39295=CARTESIAN_POINT('',(1.27134967,1.31595871,0.0200000000000006)); #39296=CARTESIAN_POINT('Origin',(1.26149732,1.31867671000001,5.55111512312578E-16)); #39297=CARTESIAN_POINT('',(1.26149732,1.31867671000001,5.55111512312578E-16)); #39298=CARTESIAN_POINT('',(0.844387102661893,1.43374626911287,0.)); #39299=CARTESIAN_POINT('',(1.26149732,1.31867671000001,0.0200000000000006)); #39300=CARTESIAN_POINT('',(1.26149732,1.31867671000001,5.55111512312578E-16)); #39301=CARTESIAN_POINT('',(1.26149732,1.31867671000001,0.0200000000000006)); #39302=CARTESIAN_POINT('Origin',(1.25324899,1.32299171,5.55111512312578E-16)); #39303=CARTESIAN_POINT('',(1.25324899,1.32299171,5.55111512312578E-16)); #39304=CARTESIAN_POINT('',(1.03296293348042,1.43823132018544,0.)); #39305=CARTESIAN_POINT('',(1.25324899,1.32299171,0.0200000000000006)); #39306=CARTESIAN_POINT('',(1.25324899,1.32299171,5.55111512312578E-16)); #39307=CARTESIAN_POINT('',(1.25324899,1.32299171,0.0200000000000006)); #39308=CARTESIAN_POINT('Origin',(1.24660224,1.32890271,5.55111512312578E-16)); #39309=CARTESIAN_POINT('',(1.24660224,1.32890271,5.55111512312578E-16)); #39310=CARTESIAN_POINT('',(1.22851043507793,1.34499187521524,0.)); #39311=CARTESIAN_POINT('',(1.24660224,1.32890271,0.0200000000000006)); #39312=CARTESIAN_POINT('',(1.24660224,1.32890271,5.55111512312578E-16)); #39313=CARTESIAN_POINT('',(1.24660224,1.32890271,0.0200000000000006)); #39314=CARTESIAN_POINT('Origin',(1.47636545780103,1.43130309990112,5.55111512312578E-16)); #39315=CARTESIAN_POINT('',(1.23606646,1.50568971000001,5.55111512312578E-16)); #39316=CARTESIAN_POINT('Origin',(1.47636545780103,1.43130309990112,8.06947403806975E-18)); #39317=CARTESIAN_POINT('',(1.23606646,1.50568971000001,0.0200000000000006)); #39318=CARTESIAN_POINT('',(1.23606646,1.50568971000001,5.55111512312578E-16)); #39319=CARTESIAN_POINT('Origin',(1.47636545780103,1.43130309990112,0.0200000000000006)); #39320=CARTESIAN_POINT('Origin',(1.23760474,1.51669771,5.55111512312578E-16)); #39321=CARTESIAN_POINT('',(1.23760474,1.51669771,5.55111512312578E-16)); #39322=CARTESIAN_POINT('',(1.12180892432483,0.68805771016108,0.)); #39323=CARTESIAN_POINT('',(1.23760474,1.51669771,0.0200000000000006)); #39324=CARTESIAN_POINT('',(1.23760474,1.51669771,5.55111512312578E-16)); #39325=CARTESIAN_POINT('',(1.23760474,1.51669771,0.0200000000000006)); #39326=CARTESIAN_POINT('Origin',(1.24073672,1.52611571,5.55111512312578E-16)); #39327=CARTESIAN_POINT('',(1.24073672,1.52611571,5.55111512312578E-16)); #39328=CARTESIAN_POINT('',(0.950473187265878,0.653280649977638,0.)); #39329=CARTESIAN_POINT('',(1.24073672,1.52611571,0.0200000000000006)); #39330=CARTESIAN_POINT('',(1.24073672,1.52611571,5.55111512312578E-16)); #39331=CARTESIAN_POINT('',(1.24073672,1.52611571,0.0200000000000006)); #39332=CARTESIAN_POINT('Origin',(1.24546486,1.53393971,5.55111512312578E-16)); #39333=CARTESIAN_POINT('',(1.24546486,1.53393971,5.55111512312578E-16)); #39334=CARTESIAN_POINT('',(0.739377480065201,0.696479808091124,-6.16297582203915E-32)); #39335=CARTESIAN_POINT('',(1.24546486,1.53393971,0.0200000000000006)); #39336=CARTESIAN_POINT('',(1.24546486,1.53393971,5.55111512312578E-16)); #39337=CARTESIAN_POINT('',(1.24546486,1.53393971,0.0200000000000006)); #39338=CARTESIAN_POINT('Origin',(1.2517916,1.54016871,5.55111512312578E-16)); #39339=CARTESIAN_POINT('',(1.2517916,1.54016871,5.55111512312578E-16)); #39340=CARTESIAN_POINT('',(0.548976202688259,0.848210907110495,0.)); #39341=CARTESIAN_POINT('',(1.2517916,1.54016871,0.0200000000000006)); #39342=CARTESIAN_POINT('',(1.2517916,1.54016871,5.55111512312578E-16)); #39343=CARTESIAN_POINT('',(1.2517916,1.54016871,0.0200000000000006)); #39344=CARTESIAN_POINT('Origin',(1.31177942761753,1.42553654862242,5.55111512312578E-16)); #39345=CARTESIAN_POINT('',(1.34913254,1.54940671,5.55111512312578E-16)); #39346=CARTESIAN_POINT('Origin',(1.31177942761753,1.42553654862242,8.03696307946439E-18)); #39347=CARTESIAN_POINT('',(1.34913254,1.54940671,0.0200000000000006)); #39348=CARTESIAN_POINT('',(1.34913254,1.54940671,5.55111512312578E-16)); #39349=CARTESIAN_POINT('Origin',(1.31177942761753,1.42553654862242,0.0200000000000006)); #39350=CARTESIAN_POINT('Origin',(1.88038519,1.54940671,5.55111512312578E-16)); #39351=CARTESIAN_POINT('',(1.88038519,1.54940671,5.55111512312578E-16)); #39352=CARTESIAN_POINT('',(0.940192595,1.54940671,0.)); #39353=CARTESIAN_POINT('',(1.88038519,1.54940671,0.0200000000000006)); #39354=CARTESIAN_POINT('',(1.88038519,1.54940671,5.55111512312578E-16)); #39355=CARTESIAN_POINT('',(1.88038519,1.54940671,0.0200000000000006)); #39356=CARTESIAN_POINT('Origin',(1.88039329,3.00756471000001,5.55111512312578E-16)); #39357=CARTESIAN_POINT('',(1.88039329,3.00756471000001,5.55111512312578E-16)); #39358=CARTESIAN_POINT('',(1.88038493652981,1.50377713229769,0.)); #39359=CARTESIAN_POINT('',(1.88039329,3.00756471000001,0.0200000000000006)); #39360=CARTESIAN_POINT('',(1.88039329,3.00756471000001,5.55111512312578E-16)); #39361=CARTESIAN_POINT('',(1.88039329,3.00756471000001,0.0200000000000006)); #39362=CARTESIAN_POINT('Origin',(1.88099389,3.01908371,5.55111512312578E-16)); #39363=CARTESIAN_POINT('',(1.88099389,3.01908371,5.55111512312578E-16)); #39364=CARTESIAN_POINT('',(1.79994997782485,1.46473002311225,0.)); #39365=CARTESIAN_POINT('',(1.88099389,3.01908371,0.0200000000000006)); #39366=CARTESIAN_POINT('',(1.88099389,3.01908371,5.55111512312578E-16)); #39367=CARTESIAN_POINT('',(1.88099389,3.01908371,0.0200000000000006)); #39368=CARTESIAN_POINT('Origin',(1.88317909,3.02945671,5.55111512312578E-16)); #39369=CARTESIAN_POINT('',(1.88317909,3.02945671,5.55111512312578E-16)); #39370=CARTESIAN_POINT('',(1.53763143014218,1.38916480275743,-3.08148791101958E-32)); #39371=CARTESIAN_POINT('',(1.88317909,3.02945671,0.0200000000000006)); #39372=CARTESIAN_POINT('',(1.88317909,3.02945671,5.55111512312578E-16)); #39373=CARTESIAN_POINT('',(1.88317909,3.02945671,0.0200000000000006)); #39374=CARTESIAN_POINT('Origin',(1.88696169,3.03823571,5.55111512312578E-16)); #39375=CARTESIAN_POINT('',(1.88696169,3.03823571,5.55111512312578E-16)); #39376=CARTESIAN_POINT('',(1.18717865761482,1.41411599305707,-3.08148791101958E-32)); #39377=CARTESIAN_POINT('',(1.88696169,3.03823571,0.0200000000000006)); #39378=CARTESIAN_POINT('',(1.88696169,3.03823571,5.55111512312578E-16)); #39379=CARTESIAN_POINT('',(1.88696169,3.03823571,0.0200000000000006)); #39380=CARTESIAN_POINT('Origin',(1.89234039,3.04542271,5.55111512312578E-16)); #39381=CARTESIAN_POINT('',(1.89234039,3.04542271,5.55111512312578E-16)); #39382=CARTESIAN_POINT('',(0.822192748458559,1.6154951996796,0.)); #39383=CARTESIAN_POINT('',(1.89234039,3.04542271,0.0200000000000006)); #39384=CARTESIAN_POINT('',(1.89234039,3.04542271,5.55111512312578E-16)); #39385=CARTESIAN_POINT('',(1.89234039,3.04542271,0.0200000000000006)); #39386=CARTESIAN_POINT('Origin',(1.89931419,3.05101371000001,5.55111512312578E-16)); #39387=CARTESIAN_POINT('',(1.89931419,3.05101371000001,5.55111512312578E-16)); #39388=CARTESIAN_POINT('',(0.576725314957566,1.99067438275154,0.)); #39389=CARTESIAN_POINT('',(1.89931419,3.05101371000001,0.0200000000000006)); #39390=CARTESIAN_POINT('',(1.89931419,3.05101371000001,5.55111512312578E-16)); #39391=CARTESIAN_POINT('',(1.89931419,3.05101371000001,0.0200000000000006)); #39392=CARTESIAN_POINT('Origin',(1.90788169,3.05500871,5.55111512312578E-16)); #39393=CARTESIAN_POINT('',(1.90788169,3.05500871,5.55111512312578E-16)); #39394=CARTESIAN_POINT('',(0.539254665750908,2.41682196218939,6.16297582203915E-32)); #39395=CARTESIAN_POINT('',(1.90788169,3.05500871,0.0200000000000006)); #39396=CARTESIAN_POINT('',(1.90788169,3.05500871,5.55111512312578E-16)); #39397=CARTESIAN_POINT('',(1.90788169,3.05500871,0.0200000000000006)); #39398=CARTESIAN_POINT('Origin',(1.91804199,3.05740771,5.55111512312578E-16)); #39399=CARTESIAN_POINT('',(1.91804199,3.05740771,5.55111512312578E-16)); #39400=CARTESIAN_POINT('',(0.667773830107323,2.7622005492284,0.)); #39401=CARTESIAN_POINT('',(1.91804199,3.05740771,0.0200000000000006)); #39402=CARTESIAN_POINT('',(1.91804199,3.05740771,5.55111512312578E-16)); #39403=CARTESIAN_POINT('',(1.91804199,3.05740771,0.0200000000000006)); #39404=CARTESIAN_POINT('Origin',(1.92979318999998,3.05820771,5.55111512312578E-16)); #39405=CARTESIAN_POINT('',(1.92979318999998,3.05820771,5.55111512312578E-16)); #39406=CARTESIAN_POINT('',(0.865729574195561,2.98576822359484,0.)); #39407=CARTESIAN_POINT('',(1.92979318999998,3.05820771,0.0200000000000006)); #39408=CARTESIAN_POINT('',(1.92979318999998,3.05820771,5.55111512312578E-16)); #39409=CARTESIAN_POINT('',(1.92979319,3.05820771,0.0200000000000006)); #39410=CARTESIAN_POINT('Origin',(1.9606951606633,-3.09721271814959,5.55111512312578E-16)); #39411=CARTESIAN_POINT('',(2.09010959,3.05692471,5.55111512312578E-16)); #39412=CARTESIAN_POINT('Origin',(1.9606951606633,-3.09721271814959,-1.74616247398711E-17)); #39413=CARTESIAN_POINT('',(2.09010959,3.05692471,0.0200000000000006)); #39414=CARTESIAN_POINT('',(2.09010959,3.05692471,5.55111512312578E-16)); #39415=CARTESIAN_POINT('Origin',(1.9606951606633,-3.09721271814959,0.0200000000000006)); #39416=CARTESIAN_POINT('Origin',(2.09984519,3.05410071,5.55111512312578E-16)); #39417=CARTESIAN_POINT('',(2.09984519,3.05410071,5.55111512312578E-16)); #39418=CARTESIAN_POINT('',(1.53998040821879,3.21650037142286,0.)); #39419=CARTESIAN_POINT('',(2.09984519,3.05410071,0.0200000000000006)); #39420=CARTESIAN_POINT('',(2.09984519,3.05410071,5.55111512312578E-16)); #39421=CARTESIAN_POINT('',(2.09984519,3.05410071,0.0200000000000006)); #39422=CARTESIAN_POINT('Origin',(2.10798789,3.04967971,5.55111512312578E-16)); #39423=CARTESIAN_POINT('',(2.10798789,3.04967971,5.55111512312578E-16)); #39424=CARTESIAN_POINT('',(1.93336656083654,3.14448866725391,0.)); #39425=CARTESIAN_POINT('',(2.10798789,3.04967971,0.0200000000000006)); #39426=CARTESIAN_POINT('',(2.10798789,3.04967971,5.55111512312578E-16)); #39427=CARTESIAN_POINT('',(2.10798789,3.04967971,0.0200000000000006)); #39428=CARTESIAN_POINT('Origin',(2.11453639,3.04366271000001,5.55111512312578E-16)); #39429=CARTESIAN_POINT('',(2.11453639,3.04366271000001,5.55111512312578E-16)); #39430=CARTESIAN_POINT('',(2.2994592087795,2.87374889758557,0.)); #39431=CARTESIAN_POINT('',(2.11453639,3.04366271000001,0.0200000000000006)); #39432=CARTESIAN_POINT('',(2.11453639,3.04366271000001,5.55111512312578E-16)); #39433=CARTESIAN_POINT('',(2.11453639,3.04366271000001,0.0200000000000006)); #39434=CARTESIAN_POINT('Origin',(2.11948969,3.03605171000001,5.55111512312578E-16)); #39435=CARTESIAN_POINT('',(2.11948969,3.03605171000001,5.55111512312578E-16)); #39436=CARTESIAN_POINT('',(2.49818163095061,2.45417208175716,0.)); #39437=CARTESIAN_POINT('',(2.11948969,3.03605171000001,0.0200000000000006)); #39438=CARTESIAN_POINT('',(2.11948969,3.03605171000001,5.55111512312578E-16)); #39439=CARTESIAN_POINT('',(2.11948969,3.03605171000001,0.0200000000000006)); #39440=CARTESIAN_POINT('Origin',(2.12284639,3.02684571000001,5.55111512312578E-16)); #39441=CARTESIAN_POINT('',(2.12284639,3.02684571000001,5.55111512312578E-16)); #39442=CARTESIAN_POINT('',(2.48536165254264,2.03262057609874,0.)); #39443=CARTESIAN_POINT('',(2.12284639,3.02684571000001,0.0200000000000006)); #39444=CARTESIAN_POINT('',(2.12284639,3.02684571000001,5.55111512312578E-16)); #39445=CARTESIAN_POINT('',(2.12284639,3.02684571000001,0.0200000000000006)); #39446=CARTESIAN_POINT('Origin',(2.12392569,3.02164671,5.55111512312578E-16)); #39447=CARTESIAN_POINT('',(2.12392569,3.02164671,5.55111512312578E-16)); #39448=CARTESIAN_POINT('',(2.38073422844276,1.78459714883501,-6.16297582203915E-32)); #39449=CARTESIAN_POINT('',(2.12392569,3.02164671,0.0200000000000006)); #39450=CARTESIAN_POINT('',(2.12392569,3.02164671,5.55111512312578E-16)); #39451=CARTESIAN_POINT('',(2.12392569,3.02164671,0.0200000000000006)); #39452=CARTESIAN_POINT('Origin',(2.12460529,3.01604971,5.55111512312578E-16)); #39453=CARTESIAN_POINT('',(2.12460529,3.01604971,5.55111512312578E-16)); #39454=CARTESIAN_POINT('',(2.28961822997354,1.65704820171208,6.16297582203915E-32)); #39455=CARTESIAN_POINT('',(2.12460529,3.01604971,0.0200000000000006)); #39456=CARTESIAN_POINT('',(2.12460529,3.01604971,5.55111512312578E-16)); #39457=CARTESIAN_POINT('',(2.12460529,3.01604971,0.0200000000000006)); #39458=CARTESIAN_POINT('Origin',(2.12488529,3.01005371,5.55111512312578E-16)); #39459=CARTESIAN_POINT('',(2.12488529,3.01005371,5.55111512312578E-16)); #39460=CARTESIAN_POINT('',(2.1927019919486,1.55780747827316,0.)); #39461=CARTESIAN_POINT('',(2.12488529,3.01005371,0.0200000000000006)); #39462=CARTESIAN_POINT('',(2.12488529,3.01005371,5.55111512312578E-16)); #39463=CARTESIAN_POINT('',(2.12488529,3.01005371,0.0200000000000006)); #39464=CARTESIAN_POINT('Origin',(2.12492509,1.54940671,5.55111512312578E-16)); #39465=CARTESIAN_POINT('',(2.12492508999998,1.54940671,5.55111512312578E-16)); #39466=CARTESIAN_POINT('',(2.12494619848226,0.774732305765935,-6.16297582203915E-32)); #39467=CARTESIAN_POINT('',(2.12492508999998,1.54940671,0.0200000000000006)); #39468=CARTESIAN_POINT('',(2.12492508999998,1.54940671,5.55111512312578E-16)); #39469=CARTESIAN_POINT('',(2.12492509,1.54940671,0.0200000000000006)); #39470=CARTESIAN_POINT('Origin',(2.4013069851714,-6.88116041902655,2.77555756156289E-16)); #39471=CARTESIAN_POINT('',(2.73869489,1.54718571000001,5.55111512312578E-16)); #39472=CARTESIAN_POINT('Origin',(2.4013069851714,-6.88116041902655,-3.87949591927552E-17)); #39473=CARTESIAN_POINT('',(2.73869489,1.54718571000001,0.0200000000000006)); #39474=CARTESIAN_POINT('',(2.73869489,1.54718571000001,5.55111512312578E-16)); #39475=CARTESIAN_POINT('Origin',(2.4013069851714,-6.88116041902655,0.0200000000000003)); #39476=CARTESIAN_POINT('Origin',(2.74779339,1.54372371,5.55111512312578E-16)); #39477=CARTESIAN_POINT('',(1.80420630310206,1.90276074850541,0.)); #39478=CARTESIAN_POINT('',(2.74779339,1.54372371,0.0200000000000006)); #39479=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #39480=CARTESIAN_POINT('Origin',(8.50197459,2.27276171000001,5.55111512312578E-16)); #39481=CARTESIAN_POINT('',(8.50197459,2.27276171000001,5.55111512312578E-16)); #39482=CARTESIAN_POINT('',(8.49429989,2.27761671000001,5.55111512312578E-16)); #39483=CARTESIAN_POINT('',(5.97936007849833,3.86856264727946,0.)); #39484=CARTESIAN_POINT('',(8.50197459,2.27276171000001,0.0200000000000006)); #39485=CARTESIAN_POINT('',(8.50197459,2.27276171000001,5.55111512312578E-16)); #39486=CARTESIAN_POINT('',(8.49429989,2.27761671000001,0.0200000000000006)); #39487=CARTESIAN_POINT('',(8.50197459,2.27276171000001,0.0200000000000006)); #39488=CARTESIAN_POINT('',(8.49429989,2.27761671000001,5.55111512312578E-16)); #39489=CARTESIAN_POINT('Origin',(8.50806329,2.26632071,5.55111512312578E-16)); #39490=CARTESIAN_POINT('',(8.50806329,2.26632071,5.55111512312578E-16)); #39491=CARTESIAN_POINT('',(7.06624944647467,3.79155975382638,0.)); #39492=CARTESIAN_POINT('',(8.50806329,2.26632071,0.0200000000000006)); #39493=CARTESIAN_POINT('',(8.50806329,2.26632071,5.55111512312578E-16)); #39494=CARTESIAN_POINT('',(8.50806329,2.26632071,0.0200000000000006)); #39495=CARTESIAN_POINT('Origin',(8.2991060850927,2.17060517007839,5.55111512312578E-16)); #39496=CARTESIAN_POINT('',(8.51641229,2.09575471000001,5.55111512312578E-16)); #39497=CARTESIAN_POINT('Origin',(8.2991060850927,2.17060517007839,1.22375491732377E-17)); #39498=CARTESIAN_POINT('',(8.51641229,2.09575471000001,0.0200000000000006)); #39499=CARTESIAN_POINT('',(8.51641229,2.09575471000001,5.55111512312578E-16)); #39500=CARTESIAN_POINT('Origin',(8.2991060850927,2.17060517007839,0.0200000000000006)); #39501=CARTESIAN_POINT('Origin',(8.51438049,2.08526571000001,5.55111512312578E-16)); #39502=CARTESIAN_POINT('',(8.51438049,2.08526571000001,5.55111512312578E-16)); #39503=CARTESIAN_POINT('',(8.16575470726101,0.285513847046601,0.)); #39504=CARTESIAN_POINT('',(8.51438049,2.08526571000001,0.0200000000000006)); #39505=CARTESIAN_POINT('',(8.51438049,2.08526571000001,5.55111512312578E-16)); #39506=CARTESIAN_POINT('',(8.51438049,2.08526571000001,0.0200000000000006)); #39507=CARTESIAN_POINT('Origin',(8.51076029,2.07635771000001,5.55111512312578E-16)); #39508=CARTESIAN_POINT('',(8.51076029,2.07635771000001,5.55111512312578E-16)); #39509=CARTESIAN_POINT('',(7.54545684466231,-0.298904179140224,3.08148791101958E-32)); #39510=CARTESIAN_POINT('',(8.51076029,2.07635771000001,0.0200000000000006)); #39511=CARTESIAN_POINT('',(8.51076029,2.07635771000001,5.55111512312578E-16)); #39512=CARTESIAN_POINT('',(8.51076029,2.07635771000001,0.0200000000000006)); #39513=CARTESIAN_POINT('Origin',(8.50555279,2.06903571000001,5.55111512312578E-16)); #39514=CARTESIAN_POINT('',(8.50555279,2.06903571000001,5.55111512312578E-16)); #39515=CARTESIAN_POINT('',(6.58838464124385,-0.626596586725154,-3.08148791101958E-32)); #39516=CARTESIAN_POINT('',(8.50555279,2.06903571000001,0.0200000000000006)); #39517=CARTESIAN_POINT('',(8.50555279,2.06903571000001,5.55111512312578E-16)); #39518=CARTESIAN_POINT('',(8.50555279,2.06903571000001,0.0200000000000006)); #39519=CARTESIAN_POINT('Origin',(8.49875929,2.06329971000001,5.55111512312578E-16)); #39520=CARTESIAN_POINT('',(8.49875929,2.06329971000001,5.55111512312578E-16)); #39521=CARTESIAN_POINT('',(5.50942719317919,-0.46070248435738,-3.08148791101958E-32)); #39522=CARTESIAN_POINT('',(8.49875929,2.06329971000001,0.0200000000000006)); #39523=CARTESIAN_POINT('',(8.49875929,2.06329971000001,5.55111512312578E-16)); #39524=CARTESIAN_POINT('',(8.49875929,2.06329971000001,0.0200000000000006)); #39525=CARTESIAN_POINT('Origin',(8.49038079,2.05915071000001,5.55111512312578E-16)); #39526=CARTESIAN_POINT('',(8.49038079,2.05915071000001,5.55111512312578E-16)); #39527=CARTESIAN_POINT('',(4.67175001910538,0.168179883665558,0.)); #39528=CARTESIAN_POINT('',(8.49038079,2.05915071000001,0.0200000000000006)); #39529=CARTESIAN_POINT('',(8.49038079,2.05915071000001,5.55111512312578E-16)); #39530=CARTESIAN_POINT('',(8.49038079,2.05915071000001,0.0200000000000006)); #39531=CARTESIAN_POINT('Origin',(8.48041869,2.05658871000001,5.55111512312578E-16)); #39532=CARTESIAN_POINT('',(8.48041869,2.05658871000001,5.55111512312578E-16)); #39533=CARTESIAN_POINT('',(4.25520848743022,0.969971577168557,6.16297582203915E-32)); #39534=CARTESIAN_POINT('',(8.48041869,2.05658871000001,0.0200000000000006)); #39535=CARTESIAN_POINT('',(8.48041869,2.05658871000001,5.55111512312578E-16)); #39536=CARTESIAN_POINT('',(8.48041869,2.05658871000001,0.0200000000000006)); #39537=CARTESIAN_POINT('Origin',(8.47484399,2.05590471000001,5.55111512312578E-16)); #39538=CARTESIAN_POINT('',(8.47484399,2.05590471000001,5.55111512312578E-16)); #39539=CARTESIAN_POINT('',(4.17601232255228,1.52845016347148,-6.16297582203915E-32)); #39540=CARTESIAN_POINT('',(8.47484399,2.05590471000001,0.0200000000000006)); #39541=CARTESIAN_POINT('',(8.47484399,2.05590471000001,5.55111512312578E-16)); #39542=CARTESIAN_POINT('',(8.47484399,2.05590471000001,0.0200000000000006)); #39543=CARTESIAN_POINT('Origin',(8.46887349,2.05561771000001,5.55111512312578E-16)); #39544=CARTESIAN_POINT('',(8.46887349,2.05561771000001,5.55111512312578E-16)); #39545=CARTESIAN_POINT('',(4.1949061360634,1.85016948446039,6.16297582203915E-32)); #39546=CARTESIAN_POINT('',(8.46887349,2.05561771000001,0.0200000000000006)); #39547=CARTESIAN_POINT('',(8.46887349,2.05561771000001,5.55111512312578E-16)); #39548=CARTESIAN_POINT('',(8.46887349,2.05561771000001,0.0200000000000006)); #39549=CARTESIAN_POINT('Origin',(7.69209339,2.05560671000001,5.55111512312578E-16)); #39550=CARTESIAN_POINT('',(7.69209339,2.05560671000001,5.55111512312578E-16)); #39551=CARTESIAN_POINT('',(3.84603214102546,2.05555224584247,-6.16297582203915E-32)); #39552=CARTESIAN_POINT('',(7.69209339,2.05560671000001,0.0200000000000006)); #39553=CARTESIAN_POINT('',(7.69209339,2.05560671000001,5.55111512312578E-16)); #39554=CARTESIAN_POINT('',(7.69209339,2.05560671000001,0.0200000000000006)); #39555=CARTESIAN_POINT('Origin',(7.69209339,1.54987271000001,5.55111512312578E-16)); #39556=CARTESIAN_POINT('',(7.69209339,1.54987271000001,5.55111512312578E-16)); #39557=CARTESIAN_POINT('',(7.69209339,0.774936355000004,0.)); #39558=CARTESIAN_POINT('',(7.69209339,1.54987271000001,0.0200000000000006)); #39559=CARTESIAN_POINT('',(7.69209339,1.54987271000001,5.55111512312578E-16)); #39560=CARTESIAN_POINT('',(7.69209339,1.54987271000001,0.0200000000000006)); #39561=CARTESIAN_POINT('Origin',(7.69276719,1.54940671000001,5.55111512312578E-16)); #39562=CARTESIAN_POINT('',(7.69276719,1.54940671000001,5.55111512312578E-16)); #39563=CARTESIAN_POINT('',(5.45331946905598,3.09820848791616,0.)); #39564=CARTESIAN_POINT('',(7.69276719,1.54940671000001,0.0200000000000006)); #39565=CARTESIAN_POINT('',(7.69276719,1.54940671000001,5.55111512312578E-16)); #39566=CARTESIAN_POINT('',(7.69276719,1.54940671000001,0.0200000000000006)); #39567=CARTESIAN_POINT('Origin',(8.83813479,1.54936871000001,5.55111512312578E-16)); #39568=CARTESIAN_POINT('',(8.83813479,1.54936871000001,5.55111512312578E-16)); #39569=CARTESIAN_POINT('',(4.41909310166109,1.54951532108291,-6.16297582203915E-32)); #39570=CARTESIAN_POINT('',(8.83813479,1.54936871000001,0.0200000000000006)); #39571=CARTESIAN_POINT('',(8.83813479,1.54936871000001,5.55111512312578E-16)); #39572=CARTESIAN_POINT('',(8.83813479,1.54936871000001,0.0200000000000006)); #39573=CARTESIAN_POINT('Origin',(8.84401699,1.54899371,5.55111512312578E-16)); #39574=CARTESIAN_POINT('',(8.84401699,1.54899371,5.55111512312578E-16)); #39575=CARTESIAN_POINT('',(4.48908359513843,1.82662793241484,0.)); #39576=CARTESIAN_POINT('',(8.84401699,1.54899371,0.0200000000000006)); #39577=CARTESIAN_POINT('',(8.84401699,1.54899371,5.55111512312578E-16)); #39578=CARTESIAN_POINT('',(8.84401699,1.54899371,0.0200000000000006)); #39579=CARTESIAN_POINT('Origin',(8.84950349,1.54821971000001,5.55111512312578E-16)); #39580=CARTESIAN_POINT('',(8.84950349,1.54821971000001,5.55111512312578E-16)); #39581=CARTESIAN_POINT('',(4.61816910319285,2.14514904844577,0.)); #39582=CARTESIAN_POINT('',(8.84950349,1.54821971000001,0.0200000000000006)); #39583=CARTESIAN_POINT('',(8.84950349,1.54821971000001,5.55111512312578E-16)); #39584=CARTESIAN_POINT('',(8.84950349,1.54821971000001,0.0200000000000006)); #39585=CARTESIAN_POINT('Origin',(8.85929009,1.54548171000001,5.55111512312578E-16)); #39586=CARTESIAN_POINT('',(8.85929009,1.54548171000001,5.55111512312578E-16)); #39587=CARTESIAN_POINT('',(4.95168850798563,2.63871256970188,0.)); #39588=CARTESIAN_POINT('',(8.85929009,1.54548171000001,0.0200000000000006)); #39589=CARTESIAN_POINT('',(8.85929009,1.54548171000001,5.55111512312578E-16)); #39590=CARTESIAN_POINT('',(8.85929009,1.54548171000001,0.0200000000000006)); #39591=CARTESIAN_POINT('Origin',(8.86749269,1.54115671,5.55111512312578E-16)); #39592=CARTESIAN_POINT('',(8.86749269,1.54115671,5.55111512312578E-16)); #39593=CARTESIAN_POINT('',(5.71616801404024,3.20276147233436,-6.16297582203915E-32)); #39594=CARTESIAN_POINT('',(8.86749269,1.54115671,0.0200000000000006)); #39595=CARTESIAN_POINT('',(8.86749269,1.54115671,5.55111512312578E-16)); #39596=CARTESIAN_POINT('',(8.86749269,1.54115671,0.0200000000000006)); #39597=CARTESIAN_POINT('Origin',(8.87410929,1.53524371,5.55111512312578E-16)); #39598=CARTESIAN_POINT('',(8.87410929,1.53524371,5.55111512312578E-16)); #39599=CARTESIAN_POINT('',(6.78860021860176,3.39898266039399,0.)); #39600=CARTESIAN_POINT('',(8.87410929,1.53524371,0.0200000000000006)); #39601=CARTESIAN_POINT('',(8.87410929,1.53524371,5.55111512312578E-16)); #39602=CARTESIAN_POINT('',(8.87410929,1.53524371,0.0200000000000006)); #39603=CARTESIAN_POINT('Origin',(8.64483342620901,1.43303085145567,5.55111512312578E-16)); #39604=CARTESIAN_POINT('',(8.88463589,1.35880371000001,5.55111512312578E-16)); #39605=CARTESIAN_POINT('Origin',(8.64483342620901,1.43303085145567,8.07921484441239E-18)); #39606=CARTESIAN_POINT('',(8.88463589,1.35880371000001,0.0200000000000006)); #39607=CARTESIAN_POINT('',(8.88463589,1.35880371000001,5.55111512312578E-16)); #39608=CARTESIAN_POINT('Origin',(8.64483342620901,1.43303085145567,0.0200000000000006)); #39609=CARTESIAN_POINT('Origin',(8.88313489,1.34778571,5.55111512312578E-16)); #39610=CARTESIAN_POINT('',(8.88313489,1.34778571,5.55111512312578E-16)); #39611=CARTESIAN_POINT('',(8.71207283154402,0.0921149837712778,3.08148791101958E-32)); #39612=CARTESIAN_POINT('',(8.88313489,1.34778571,0.0200000000000006)); #39613=CARTESIAN_POINT('',(8.88313489,1.34778571,5.55111512312578E-16)); #39614=CARTESIAN_POINT('',(8.88313489,1.34778571,0.0200000000000006)); #39615=CARTESIAN_POINT('Origin',(8.88004299,1.33835171000001,5.55111512312578E-16)); #39616=CARTESIAN_POINT('',(8.88004299,1.33835171000001,5.55111512312578E-16)); #39617=CARTESIAN_POINT('',(8.25133993142017,-0.579945988710555,0.)); #39618=CARTESIAN_POINT('',(8.88004299,1.33835171000001,0.0200000000000006)); #39619=CARTESIAN_POINT('',(8.88004299,1.33835171000001,5.55111512312578E-16)); #39620=CARTESIAN_POINT('',(8.88004299,1.33835171000001,0.0200000000000006)); #39621=CARTESIAN_POINT('Origin',(8.87536529,1.33050071000001,5.55111512312578E-16)); #39622=CARTESIAN_POINT('',(8.87536529,1.33050071000001,5.55111512312578E-16)); #39623=CARTESIAN_POINT('',(7.42023120346647,-1.11178026427655,0.)); #39624=CARTESIAN_POINT('',(8.87536529,1.33050071000001,0.0200000000000006)); #39625=CARTESIAN_POINT('',(8.87536529,1.33050071000001,5.55111512312578E-16)); #39626=CARTESIAN_POINT('',(8.87536529,1.33050071000001,0.0200000000000006)); #39627=CARTESIAN_POINT('Origin',(8.86910059,1.32423471000001,5.55111512312578E-16)); #39628=CARTESIAN_POINT('',(8.86910059,1.32423471000001,5.55111512312578E-16)); #39629=CARTESIAN_POINT('',(6.32122683543698,-1.22416775876855,-6.16297582203915E-32)); #39630=CARTESIAN_POINT('',(8.86910059,1.32423471000001,0.0200000000000006)); #39631=CARTESIAN_POINT('',(8.86910059,1.32423471000001,5.55111512312578E-16)); #39632=CARTESIAN_POINT('',(8.86910059,1.32423471000001,0.0200000000000006)); #39633=CARTESIAN_POINT('Origin',(8.86124989,1.31955771000001,5.55111512312578E-16)); #39634=CARTESIAN_POINT('',(8.86124989,1.31955771000001,5.55111512312578E-16)); #39635=CARTESIAN_POINT('',(5.3010987561167,-0.801377601903606,-3.08148791101958E-32)); #39636=CARTESIAN_POINT('',(8.86124989,1.31955771000001,0.0200000000000006)); #39637=CARTESIAN_POINT('',(8.86124989,1.31955771000001,5.55111512312578E-16)); #39638=CARTESIAN_POINT('',(8.86124989,1.31955771000001,0.0200000000000006)); #39639=CARTESIAN_POINT('Origin',(8.85181429000011,1.31646671000004,5.55111512312578E-16)); #39640=CARTESIAN_POINT('',(8.85181429000012,1.31646671,5.55111512312578E-16)); #39641=CARTESIAN_POINT('',(4.6601084492917,-0.0566905617823316,-3.08148791101958E-32)); #39642=CARTESIAN_POINT('',(8.85181429000012,1.31646671,0.0200000000000006)); #39643=CARTESIAN_POINT('',(8.85181429000012,1.31646671,5.55111512312578E-16)); #39644=CARTESIAN_POINT('',(8.85181429,1.31646671000001,0.0200000000000006)); #39645=CARTESIAN_POINT('Origin',(8.11680400222986,58.4419161599184,2.77555756156289E-16)); #39646=CARTESIAN_POINT('',(7.49148269,1.31516071000001,5.55111512312578E-16)); #39647=CARTESIAN_POINT('Origin',(8.11680400222986,58.4419161599184,3.29486832816956E-16)); #39648=CARTESIAN_POINT('',(7.49148269,1.31516071000001,0.0200000000000006)); #39649=CARTESIAN_POINT('',(7.49148269,1.31516071000001,5.55111512312578E-16)); #39650=CARTESIAN_POINT('Origin',(8.11680400222986,58.4419161599184,0.0200000000000003)); #39651=CARTESIAN_POINT('Origin',(7.48079869,1.31701571000001,5.55111512312578E-16)); #39652=CARTESIAN_POINT('',(7.48079869,1.31701571000001,5.55111512312578E-16)); #39653=CARTESIAN_POINT('',(3.96084243521791,1.92816498486067,0.)); #39654=CARTESIAN_POINT('',(7.48079869,1.31701571000001,0.0200000000000006)); #39655=CARTESIAN_POINT('',(7.48079869,1.31701571000001,5.55111512312578E-16)); #39656=CARTESIAN_POINT('',(7.48079869,1.31701571000001,0.0200000000000006)); #39657=CARTESIAN_POINT('Origin',(7.47171119,1.32045971000001,5.55111512312578E-16)); #39658=CARTESIAN_POINT('',(7.47171119,1.32045971000001,5.55111512312578E-16)); #39659=CARTESIAN_POINT('',(4.42383025795107,2.47555208193658,0.)); #39660=CARTESIAN_POINT('',(7.47171119,1.32045971000001,0.0200000000000006)); #39661=CARTESIAN_POINT('',(7.47171119,1.32045971000001,5.55111512312578E-16)); #39662=CARTESIAN_POINT('',(7.47171119,1.32045971000001,0.0200000000000006)); #39663=CARTESIAN_POINT('Origin',(7.46421719,1.32549071000001,5.55111512312578E-16)); #39664=CARTESIAN_POINT('',(7.46421719,1.32549071000001,5.55111512312578E-16)); #39665=CARTESIAN_POINT('',(5.1982780659843,2.84669964153488,0.)); #39666=CARTESIAN_POINT('',(7.46421719,1.32549071000001,0.0200000000000006)); #39667=CARTESIAN_POINT('',(7.46421719,1.32549071000001,5.55111512312578E-16)); #39668=CARTESIAN_POINT('',(7.46421719,1.32549071000001,0.0200000000000006)); #39669=CARTESIAN_POINT('Origin',(7.45831489,1.33210871000001,5.55111512312578E-16)); #39670=CARTESIAN_POINT('',(7.45831489,1.33210871000001,5.55111512312578E-16)); #39671=CARTESIAN_POINT('',(6.13707017281597,2.81356467433976,-6.16297582203915E-32)); #39672=CARTESIAN_POINT('',(7.45831489,1.33210871000001,0.0200000000000006)); #39673=CARTESIAN_POINT('',(7.45831489,1.33210871000001,5.55111512312578E-16)); #39674=CARTESIAN_POINT('',(7.45831489,1.33210871000001,0.0200000000000006)); #39675=CARTESIAN_POINT('Origin',(7.45400139,1.34031171000001,5.55111512312578E-16)); #39676=CARTESIAN_POINT('',(7.45400139,1.34031171000001,5.55111512312578E-16)); #39677=CARTESIAN_POINT('',(6.92273866176206,2.35061614021594,0.)); #39678=CARTESIAN_POINT('',(7.45400139,1.34031171000001,0.0200000000000006)); #39679=CARTESIAN_POINT('',(7.45400139,1.34031171000001,5.55111512312578E-16)); #39680=CARTESIAN_POINT('',(7.45400139,1.34031171000001,0.0200000000000006)); #39681=CARTESIAN_POINT('Origin',(7.45127489,1.35009671000001,5.55111512312578E-16)); #39682=CARTESIAN_POINT('',(7.45127489,1.35009671000001,5.55111512312578E-16)); #39683=CARTESIAN_POINT('',(7.35739848253541,1.68700507128409,0.)); #39684=CARTESIAN_POINT('',(7.45127489,1.35009671000001,0.0200000000000006)); #39685=CARTESIAN_POINT('',(7.45127489,1.35009671000001,5.55111512312578E-16)); #39686=CARTESIAN_POINT('',(7.45127489,1.35009671000001,0.0200000000000006)); #39687=CARTESIAN_POINT('Origin',(7.45013239,1.36146671000001,5.55111512312578E-16)); #39688=CARTESIAN_POINT('',(7.45013239,1.36146671000001,5.55111512312578E-16)); #39689=CARTESIAN_POINT('',(7.48061531240397,1.05810493517886,0.)); #39690=CARTESIAN_POINT('',(7.45013239,1.36146671000001,0.0200000000000006)); #39691=CARTESIAN_POINT('',(7.45013239,1.36146671000001,5.55111512312578E-16)); #39692=CARTESIAN_POINT('',(7.45013239,1.36146671000001,0.0200000000000006)); #39693=CARTESIAN_POINT('Origin',(7.45009329,3.00783871000001,5.55111512312578E-16)); #39694=CARTESIAN_POINT('',(7.45009329,3.00783871000001,5.55111512312578E-16)); #39695=CARTESIAN_POINT('',(7.45012900476416,1.50400782268548,-6.16297582203915E-32)); #39696=CARTESIAN_POINT('',(7.45009329,3.00783871000001,0.0200000000000006)); #39697=CARTESIAN_POINT('',(7.45009329,3.00783871000001,5.55111512312578E-16)); #39698=CARTESIAN_POINT('',(7.45009329,3.00783871000001,0.0200000000000006)); #39699=CARTESIAN_POINT('Origin',(7.45071789,3.01930371000001,5.55111512312578E-16)); #39700=CARTESIAN_POINT('',(7.45071789,3.01930371000001,5.55111512312578E-16)); #39701=CARTESIAN_POINT('',(7.35769322685007,1.31176646534076,-3.08148791101958E-32)); #39702=CARTESIAN_POINT('',(7.45071789,3.01930371000001,0.0200000000000006)); #39703=CARTESIAN_POINT('',(7.45071789,3.01930371000001,5.55111512312578E-16)); #39704=CARTESIAN_POINT('',(7.45071789,3.01930371000001,0.0200000000000006)); #39705=CARTESIAN_POINT('Origin',(7.45291659,3.02961771000001,5.55111512312578E-16)); #39706=CARTESIAN_POINT('',(7.45291659,3.02961771000001,5.55111512312578E-16)); #39707=CARTESIAN_POINT('',(6.9820478911707,0.820794423635446,0.)); #39708=CARTESIAN_POINT('',(7.45291659,3.02961771000001,0.0200000000000006)); #39709=CARTESIAN_POINT('',(7.45291659,3.02961771000001,5.55111512312578E-16)); #39710=CARTESIAN_POINT('',(7.45291659,3.02961771000001,0.0200000000000006)); #39711=CARTESIAN_POINT('Origin',(7.45670079,3.03834871000001,5.55111512312578E-16)); #39712=CARTESIAN_POINT('',(7.45670079,3.03834871000001,5.55111512312578E-16)); #39713=CARTESIAN_POINT('',(6.31276598897128,0.399033994135077,0.)); #39714=CARTESIAN_POINT('',(7.45670079,3.03834871000001,0.0200000000000006)); #39715=CARTESIAN_POINT('',(7.45670079,3.03834871000001,5.55111512312578E-16)); #39716=CARTESIAN_POINT('',(7.45670079,3.03834871000001,0.0200000000000006)); #39717=CARTESIAN_POINT('Origin',(7.46207329,3.04549471000001,5.55111512312578E-16)); #39718=CARTESIAN_POINT('',(7.46207329,3.04549471000001,5.55111512312578E-16)); #39719=CARTESIAN_POINT('',(5.38331935302595,0.280529492017522,0.)); #39720=CARTESIAN_POINT('',(7.46207329,3.04549471000001,0.0200000000000006)); #39721=CARTESIAN_POINT('',(7.46207329,3.04549471000001,5.55111512312578E-16)); #39722=CARTESIAN_POINT('',(7.46207329,3.04549471000001,0.0200000000000006)); #39723=CARTESIAN_POINT('Origin',(7.46903609,3.05105371000001,5.55111512312578E-16)); #39724=CARTESIAN_POINT('',(7.46903609,3.05105371000001,5.55111512312578E-16)); #39725=CARTESIAN_POINT('',(4.44447447658476,0.63628694821158,-3.08148791101958E-32)); #39726=CARTESIAN_POINT('',(7.46903609,3.05105371000001,0.0200000000000006)); #39727=CARTESIAN_POINT('',(7.46903609,3.05105371000001,5.55111512312578E-16)); #39728=CARTESIAN_POINT('',(7.46903609,3.05105371000001,0.0200000000000006)); #39729=CARTESIAN_POINT('Origin',(7.47759199,3.05502771,5.55111512312578E-16)); #39730=CARTESIAN_POINT('',(7.47759199,3.05502771,5.55111512312578E-16)); #39731=CARTESIAN_POINT('',(3.81866883433812,1.35555008396493,0.)); #39732=CARTESIAN_POINT('',(7.47759199,3.05502771,0.0200000000000006)); #39733=CARTESIAN_POINT('',(7.47759199,3.05502771,5.55111512312578E-16)); #39734=CARTESIAN_POINT('',(7.47759199,3.05502771,0.0200000000000006)); #39735=CARTESIAN_POINT('Origin',(7.48774389,3.05741271,5.55111512312578E-16)); #39736=CARTESIAN_POINT('',(7.48774389,3.05741271,5.55111512312578E-16)); #39737=CARTESIAN_POINT('',(3.59934243718113,2.1439051434387,0.)); #39738=CARTESIAN_POINT('',(7.48774389,3.05741271,0.0200000000000006)); #39739=CARTESIAN_POINT('',(7.48774389,3.05741271,5.55111512312578E-16)); #39740=CARTESIAN_POINT('',(7.48774389,3.05741271,0.0200000000000006)); #39741=CARTESIAN_POINT('Origin',(7.50114589,3.05820771000001,5.55111512312578E-16)); #39742=CARTESIAN_POINT('',(7.50114589,3.05820771,5.55111512312578E-16)); #39743=CARTESIAN_POINT('',(3.67333657120174,2.83114395768976,6.16297582203915E-32)); #39744=CARTESIAN_POINT('',(7.50114589,3.05820771,0.0200000000000006)); #39745=CARTESIAN_POINT('',(7.50114589,3.05820771,5.55111512312578E-16)); #39746=CARTESIAN_POINT('',(7.50114589,3.05820771000001,0.0200000000000006)); #39747=CARTESIAN_POINT('Origin',(7.53227847092149,-3.06076494600726,5.55111512312578E-16)); #39748=CARTESIAN_POINT('',(7.65680359,3.05701971,5.55111512312578E-16)); #39749=CARTESIAN_POINT('Origin',(7.53227847092149,-3.06076494600726,-1.72561376204284E-17)); #39750=CARTESIAN_POINT('',(7.65680359,3.05701971,0.0200000000000006)); #39751=CARTESIAN_POINT('',(7.65680359,3.05701971,5.55111512312578E-16)); #39752=CARTESIAN_POINT('Origin',(7.53227847092149,-3.06076494600726,0.0200000000000006)); #39753=CARTESIAN_POINT('Origin',(7.66658969,3.05428271000001,5.55111512312578E-16)); #39754=CARTESIAN_POINT('',(7.66658969,3.05428271000001,5.55111512312578E-16)); #39755=CARTESIAN_POINT('',(4.50751902539378,3.93781919634454,6.16297582203915E-32)); #39756=CARTESIAN_POINT('',(7.66658969,3.05428271000001,0.0200000000000006)); #39757=CARTESIAN_POINT('',(7.66658969,3.05428271000001,5.55111512312578E-16)); #39758=CARTESIAN_POINT('',(7.66658969,3.05428271000001,0.0200000000000006)); #39759=CARTESIAN_POINT('Origin',(7.67479229,3.04995671000001,5.55111512312578E-16)); #39760=CARTESIAN_POINT('',(7.67479229,3.04995671000001,5.55111512312578E-16)); #39761=CARTESIAN_POINT('',(5.30171720517834,4.30150168561,0.)); #39762=CARTESIAN_POINT('',(7.67479229,3.04995671000001,0.0200000000000006)); #39763=CARTESIAN_POINT('',(7.67479229,3.04995671000001,5.55111512312578E-16)); #39764=CARTESIAN_POINT('',(7.67479229,3.04995671000001,0.0200000000000006)); #39765=CARTESIAN_POINT('Origin',(7.68140979,3.04404371,5.55111512312578E-16)); #39766=CARTESIAN_POINT('',(7.68140979,3.04404371,5.55111512312578E-16)); #39767=CARTESIAN_POINT('',(6.30201748695166,4.2765857104426,-6.16297582203915E-32)); #39768=CARTESIAN_POINT('',(7.68140979,3.04404371,0.0200000000000006)); #39769=CARTESIAN_POINT('',(7.68140979,3.04404371,5.55111512312578E-16)); #39770=CARTESIAN_POINT('',(7.68140979,3.04404371,0.0200000000000006)); #39771=CARTESIAN_POINT('Origin',(7.68644099,3.03654571000001,5.55111512312578E-16)); #39772=CARTESIAN_POINT('',(7.68644099,3.03654571000001,5.55111512312578E-16)); #39773=CARTESIAN_POINT('',(7.19574251278911,3.76783390806902,0.)); #39774=CARTESIAN_POINT('',(7.68644099,3.03654571000001,0.0200000000000006)); #39775=CARTESIAN_POINT('',(7.68644099,3.03654571000001,5.55111512312578E-16)); #39776=CARTESIAN_POINT('',(7.68644099,3.03654571000001,0.0200000000000006)); #39777=CARTESIAN_POINT('Origin',(7.68988469,3.02746271000001,5.55111512312578E-16)); #39778=CARTESIAN_POINT('',(7.68988469,3.02746271000001,5.55111512312578E-16)); #39779=CARTESIAN_POINT('',(7.70843870644125,2.97852519182595,0.)); #39780=CARTESIAN_POINT('',(7.68988469,3.02746271000001,0.0200000000000006)); #39781=CARTESIAN_POINT('',(7.68988469,3.02746271000001,5.55111512312578E-16)); #39782=CARTESIAN_POINT('',(7.68988469,3.02746271000001,0.0200000000000006)); #39783=CARTESIAN_POINT('Origin',(7.69173958999999,3.01679771000005,5.55111512312578E-16)); #39784=CARTESIAN_POINT('',(7.69173959,3.01679771000005,5.55111512312578E-16)); #39785=CARTESIAN_POINT('',(7.83346341500437,2.20193728966886,-6.16297582203915E-32)); #39786=CARTESIAN_POINT('',(7.69173959,3.01679771000005,0.0200000000000006)); #39787=CARTESIAN_POINT('',(7.69173959,3.01679771000005,5.55111512312578E-16)); #39788=CARTESIAN_POINT('',(7.69173959,3.01679771000001,0.0200000000000006)); #39789=CARTESIAN_POINT('Origin',(-4.52074200864392,2.64396906242612,5.55111512312578E-16)); #39790=CARTESIAN_POINT('',(7.69209338999992,2.28291571000001,5.55111512312578E-16)); #39791=CARTESIAN_POINT('Origin',(-4.52074200864392,2.64396906242612,1.49063044076277E-17)); #39792=CARTESIAN_POINT('',(7.69209338999992,2.28291571000001,0.0200000000000006)); #39793=CARTESIAN_POINT('',(7.69209338999992,2.28291571000001,5.55111512312578E-16)); #39794=CARTESIAN_POINT('Origin',(-4.52074200864392,2.64396906242612,0.0200000000000006)); #39795=CARTESIAN_POINT('Origin',(8.04470931252792,-14.8244770230477,5.55111512312578E-16)); #39796=CARTESIAN_POINT('',(8.48504159,2.28088271000001,5.55111512312578E-16)); #39797=CARTESIAN_POINT('Origin',(8.04470931252792,-14.8244770230477,-8.3578197010619E-17)); #39798=CARTESIAN_POINT('',(8.48504159,2.28088271000001,0.0200000000000006)); #39799=CARTESIAN_POINT('',(8.48504159,2.28088271000001,5.55111512312578E-16)); #39800=CARTESIAN_POINT('Origin',(8.04470931252792,-14.8244770230477,0.0200000000000006)); #39801=CARTESIAN_POINT('Origin',(8.49429989,2.27761671000001,5.55111512312578E-16)); #39802=CARTESIAN_POINT('',(5.07445617183391,3.4840163280225,0.)); #39803=CARTESIAN_POINT('',(8.49429989,2.27761671000001,0.0200000000000006)); #39804=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #39805=CARTESIAN_POINT('Origin',(5.20294859,9.30196681,2.77555756156289E-16)); #39806=CARTESIAN_POINT('',(5.20294859,9.30196681,2.77555756156289E-16)); #39807=CARTESIAN_POINT('',(5.74630979,10.13444011,2.77555756156289E-16)); #39808=CARTESIAN_POINT('',(2.29695302093532,4.84974659043948,0.)); #39809=CARTESIAN_POINT('',(5.20294859,9.30196681,0.0200000000000003)); #39810=CARTESIAN_POINT('',(5.20294859,9.30196681,2.77555756156289E-16)); #39811=CARTESIAN_POINT('',(5.74630979,10.13444011,0.0200000000000003)); #39812=CARTESIAN_POINT('',(5.74630979,10.13444011,0.0200000000000003)); #39813=CARTESIAN_POINT('',(5.74630979,10.13444011,2.77555756156289E-16)); #39814=CARTESIAN_POINT('Origin',(5.02818489,9.03427901,2.77555756156289E-16)); #39815=CARTESIAN_POINT('',(5.02818489,9.03427901,2.77555756156289E-16)); #39816=CARTESIAN_POINT('',(2.20910344296142,4.71625581308565,0.)); #39817=CARTESIAN_POINT('',(5.02818489,9.03427901,0.0200000000000003)); #39818=CARTESIAN_POINT('',(5.02818489,9.03427901,2.77555756156289E-16)); #39819=CARTESIAN_POINT('',(5.20294859,9.30196681,0.0200000000000003)); #39820=CARTESIAN_POINT('Origin',(4.93645528999998,8.89084211,2.77555756156289E-16)); #39821=CARTESIAN_POINT('',(4.93645528999998,8.89084211,2.77555756156289E-16)); #39822=CARTESIAN_POINT('',(2.20230967782544,4.61547875118374,0.)); #39823=CARTESIAN_POINT('',(4.93645528999998,8.89084211,0.0200000000000003)); #39824=CARTESIAN_POINT('',(4.93645528999998,8.89084211,2.77555756156289E-16)); #39825=CARTESIAN_POINT('',(5.02818489,9.03427901,0.0200000000000003)); #39826=CARTESIAN_POINT('Origin',(-99.0746830944524,77.1144432025078,2.77555756156289E-16)); #39827=CARTESIAN_POINT('',(4.63432409,8.43243331,2.77555756156289E-16)); #39828=CARTESIAN_POINT('Origin',(-99.0746830944524,77.1144432025078,4.34759763620879E-16)); #39829=CARTESIAN_POINT('',(4.63432409,8.43243331,0.0200000000000003)); #39830=CARTESIAN_POINT('',(4.63432409,8.43243331,2.77555756156289E-16)); #39831=CARTESIAN_POINT('Origin',(-99.0746830944524,77.1144432025078,0.0200000000000003)); #39832=CARTESIAN_POINT('Origin',(4.57563829,8.34130021,2.77555756156289E-16)); #39833=CARTESIAN_POINT('',(4.57563829,8.34130021,2.77555756156289E-16)); #39834=CARTESIAN_POINT('',(2.00655404760994,4.35177274049045,-3.08148791101958E-32)); #39835=CARTESIAN_POINT('',(4.57563829,8.34130021,0.0200000000000003)); #39836=CARTESIAN_POINT('',(4.57563829,8.34130021,2.77555756156289E-16)); #39837=CARTESIAN_POINT('',(4.63432409,8.43243331,0.0200000000000003)); #39838=CARTESIAN_POINT('Origin',(4.51909898999999,8.25156131,2.77555756156289E-16)); #39839=CARTESIAN_POINT('',(4.51909898999999,8.25156131,2.77555756156289E-16)); #39840=CARTESIAN_POINT('',(2.01625810334004,4.27906451495907,-3.08148791101958E-32)); #39841=CARTESIAN_POINT('',(4.51909898999999,8.25156131,0.0200000000000003)); #39842=CARTESIAN_POINT('',(4.51909898999999,8.25156131,2.77555756156289E-16)); #39843=CARTESIAN_POINT('',(4.57563829,8.34130021,0.0200000000000003)); #39844=CARTESIAN_POINT('Origin',(-152.634130083306,110.426223607115,0.)); #39845=CARTESIAN_POINT('',(3.80792088999947,7.16595190999914,2.77555756156289E-16)); #39846=CARTESIAN_POINT('Origin',(-152.634130083306,110.426223607115,6.22566627977864E-16)); #39847=CARTESIAN_POINT('',(3.80792088999947,7.16595190999914,0.0200000000000003)); #39848=CARTESIAN_POINT('',(3.80792088999947,7.16595190999914,2.77555756156289E-16)); #39849=CARTESIAN_POINT('Origin',(-152.634130083306,110.426223607115,0.02)); #39850=CARTESIAN_POINT('Origin',(3.78787419,7.13202691000001,2.77555756156289E-16)); #39851=CARTESIAN_POINT('',(3.78787419,7.13202691000001,2.77555756156289E-16)); #39852=CARTESIAN_POINT('',(1.73586733473136,3.65941882163752,-3.08148791101958E-32)); #39853=CARTESIAN_POINT('',(3.78787419,7.13202691000001,0.0200000000000003)); #39854=CARTESIAN_POINT('',(3.78787419,7.13202691000001,2.77555756156289E-16)); #39855=CARTESIAN_POINT('',(3.80792089,7.16595191,0.0200000000000003)); #39856=CARTESIAN_POINT('Origin',(3.77996589,7.12855931,2.77555756156289E-16)); #39857=CARTESIAN_POINT('',(3.77996589,7.12855931,2.77555756156289E-16)); #39858=CARTESIAN_POINT('',(0.883927626042363,5.85871594491441,0.)); #39859=CARTESIAN_POINT('',(3.77996589,7.12855931,0.0200000000000003)); #39860=CARTESIAN_POINT('',(3.77996589,7.12855931,2.77555756156289E-16)); #39861=CARTESIAN_POINT('',(3.78787419,7.13202691000001,0.0200000000000003)); #39862=CARTESIAN_POINT('Origin',(4.24223559130113,7.53527140846157,2.77555756156289E-16)); #39863=CARTESIAN_POINT('',(3.70593499,7.23279881,2.77555756156289E-16)); #39864=CARTESIAN_POINT('Origin',(4.24223559130113,7.53527140846157,4.24827396828741E-17)); #39865=CARTESIAN_POINT('',(3.70593499,7.23279881,0.0200000000000003)); #39866=CARTESIAN_POINT('',(3.70593499,7.23279881,2.77555756156289E-16)); #39867=CARTESIAN_POINT('Origin',(4.24223559130113,7.53527140846157,0.0200000000000003)); #39868=CARTESIAN_POINT('Origin',(82.1035736933043,59.5593715183826,2.77555756156289E-16)); #39869=CARTESIAN_POINT('',(2.76352929,8.67305391,2.77555756156289E-16)); #39870=CARTESIAN_POINT('Origin',(82.1035736933043,59.5593715183826,3.35786879958929E-16)); #39871=CARTESIAN_POINT('',(2.76352929,8.67305391,0.0200000000000003)); #39872=CARTESIAN_POINT('',(2.76352929,8.67305391,2.77555756156289E-16)); #39873=CARTESIAN_POINT('Origin',(82.1035736933043,59.5593715183826,0.0200000000000003)); #39874=CARTESIAN_POINT('Origin',(2.74267029,8.70456761,2.77555756156289E-16)); #39875=CARTESIAN_POINT('',(2.74267029,8.70456761,2.77555756156289E-16)); #39876=CARTESIAN_POINT('',(4.32806875707559,6.30935347356604,0.)); #39877=CARTESIAN_POINT('',(2.74267029,8.70456761,0.0200000000000003)); #39878=CARTESIAN_POINT('',(2.74267029,8.70456761,2.77555756156289E-16)); #39879=CARTESIAN_POINT('',(2.76352929,8.67305391,0.0200000000000003)); #39880=CARTESIAN_POINT('Origin',(2.70192168999998,8.76434631,2.77555756156289E-16)); #39881=CARTESIAN_POINT('',(2.70192168999998,8.76434631,2.77555756156289E-16)); #39882=CARTESIAN_POINT('',(4.31281621667397,6.40114412289095,0.)); #39883=CARTESIAN_POINT('',(2.70192168999998,8.76434631,0.0200000000000003)); #39884=CARTESIAN_POINT('',(2.70192168999998,8.76434631,2.77555756156289E-16)); #39885=CARTESIAN_POINT('',(2.74267029,8.70456761,0.0200000000000003)); #39886=CARTESIAN_POINT('Origin',(140.826451464791,99.444240071742,0.)); #39887=CARTESIAN_POINT('',(2.34026608999998,9.31763291000001,2.77555756156289E-16)); #39888=CARTESIAN_POINT('Origin',(140.826451464791,99.444240071742,5.60651837860154E-16)); #39889=CARTESIAN_POINT('',(2.34026608999998,9.31763291000001,0.0200000000000003)); #39890=CARTESIAN_POINT('',(2.34026608999998,9.31763291000001,2.77555756156289E-16)); #39891=CARTESIAN_POINT('Origin',(140.826451464791,99.444240071742,0.02)); #39892=CARTESIAN_POINT('Origin',(35.3798899220241,31.3901778467695,2.77555756156289E-16)); #39893=CARTESIAN_POINT('',(1.89755369000002,9.99515170999995,2.77555756156289E-16)); #39894=CARTESIAN_POINT('Origin',(35.3798899220241,31.3901778467695,1.76973154884104E-16)); #39895=CARTESIAN_POINT('',(1.89755369000002,9.99515170999995,0.0200000000000003)); #39896=CARTESIAN_POINT('',(1.89755369000002,9.99515170999995,2.77555756156289E-16)); #39897=CARTESIAN_POINT('Origin',(35.3798899220241,31.3901778467695,0.0200000000000003)); #39898=CARTESIAN_POINT('Origin',(1.73310049,10.24680441,2.77555756156289E-16)); #39899=CARTESIAN_POINT('',(1.73310049,10.24680441,2.77555756156289E-16)); #39900=CARTESIAN_POINT('',(3.81994933580727,7.05342692303536,0.)); #39901=CARTESIAN_POINT('',(1.73310049,10.24680441,0.0200000000000003)); #39902=CARTESIAN_POINT('',(1.73310049,10.24680441,2.77555756156289E-16)); #39903=CARTESIAN_POINT('',(1.89755369,9.99515171,0.0200000000000003)); #39904=CARTESIAN_POINT('Origin',(1.24892151,10.98833721,2.77555756156289E-16)); #39905=CARTESIAN_POINT('',(1.24892151,10.98833721,2.77555756156289E-16)); #39906=CARTESIAN_POINT('',(3.57737273480941,7.42225312184659,0.)); #39907=CARTESIAN_POINT('',(1.24892151,10.98833721,0.0200000000000003)); #39908=CARTESIAN_POINT('',(1.24892151,10.98833721,2.77555756156289E-16)); #39909=CARTESIAN_POINT('',(1.73310049,10.24680441,0.0200000000000003)); #39910=CARTESIAN_POINT('Origin',(1.24169326,10.99940751,2.77555756156289E-16)); #39911=CARTESIAN_POINT('',(1.24169326,10.99940751,2.77555756156289E-16)); #39912=CARTESIAN_POINT('',(3.57375551800837,7.42777830309227,0.)); #39913=CARTESIAN_POINT('',(1.24169326,10.99940751,0.0200000000000003)); #39914=CARTESIAN_POINT('',(1.24169326,10.99940751,2.77555756156289E-16)); #39915=CARTESIAN_POINT('',(1.24892151,10.98833721,0.0200000000000003)); #39916=CARTESIAN_POINT('Origin',(2.25213919,10.99874041,2.77555756156289E-16)); #39917=CARTESIAN_POINT('',(2.25213919,10.99874041,2.77555756156289E-16)); #39918=CARTESIAN_POINT('',(1.12970078802199,10.9994814478287,-3.08148791101958E-32)); #39919=CARTESIAN_POINT('',(2.25213919,10.99874041,0.0200000000000003)); #39920=CARTESIAN_POINT('',(2.25213919,10.99874041,2.77555756156289E-16)); #39921=CARTESIAN_POINT('',(1.24169326,10.99940751,0.0200000000000003)); #39922=CARTESIAN_POINT('Origin',(2.18052215448099,10.6836690508688,2.77555756156289E-16)); #39923=CARTESIAN_POINT('',(2.33460329,10.96767251,2.77555756156289E-16)); #39924=CARTESIAN_POINT('Origin',(2.18052215448099,10.6836690508688,6.0232937414354E-17)); #39925=CARTESIAN_POINT('',(2.33460329,10.96767251,0.0200000000000003)); #39926=CARTESIAN_POINT('',(2.33460329,10.96767251,2.77555756156289E-16)); #39927=CARTESIAN_POINT('Origin',(2.18052215448099,10.6836690508688,0.0200000000000003)); #39928=CARTESIAN_POINT('Origin',(-25.3332000214302,-53.2396496178691,8.32667268468867E-16)); #39929=CARTESIAN_POINT('',(2.85687639,10.74009051,2.77555756156289E-16)); #39930=CARTESIAN_POINT('Origin',(-25.3332000214302,-53.2396496178691,-3.00157227645916E-16)); #39931=CARTESIAN_POINT('',(2.85687639,10.74009051,0.0200000000000003)); #39932=CARTESIAN_POINT('',(2.85687639,10.74009051,2.77555756156289E-16)); #39933=CARTESIAN_POINT('Origin',(-25.3332000214302,-53.2396496178691,0.0200000000000009)); #39934=CARTESIAN_POINT('Origin',(2.93592219,10.70589371,2.77555756156289E-16)); #39935=CARTESIAN_POINT('',(2.93592219,10.70589371,2.77555756156289E-16)); #39936=CARTESIAN_POINT('',(3.65008968812299,10.3969305127166,-3.08148791101958E-32)); #39937=CARTESIAN_POINT('',(2.93592219,10.70589371,0.0200000000000003)); #39938=CARTESIAN_POINT('',(2.93592219,10.70589371,2.77555756156289E-16)); #39939=CARTESIAN_POINT('',(2.85687639,10.74009051,0.0200000000000003)); #39940=CARTESIAN_POINT('Origin',(3.05331759,10.65527361,2.77555756156289E-16)); #39941=CARTESIAN_POINT('',(3.05331759,10.65527361,2.77555756156289E-16)); #39942=CARTESIAN_POINT('',(3.70308917521976,10.375096510889,0.)); #39943=CARTESIAN_POINT('',(3.05331759,10.65527361,0.0200000000000003)); #39944=CARTESIAN_POINT('',(3.05331759,10.65527361,2.77555756156289E-16)); #39945=CARTESIAN_POINT('',(2.93592219,10.70589371,0.0200000000000003)); #39946=CARTESIAN_POINT('Origin',(-0.88044183857316,1.64889762572554,5.55111512312578E-16)); #39947=CARTESIAN_POINT('',(3.14667749,10.61391751,2.77555756156289E-16)); #39948=CARTESIAN_POINT('Origin',(-0.88044183857316,1.64889762572554,9.2962396176927E-18)); #39949=CARTESIAN_POINT('',(3.14667749,10.61391751,0.0200000000000003)); #39950=CARTESIAN_POINT('',(3.14667749,10.61391751,2.77555756156289E-16)); #39951=CARTESIAN_POINT('Origin',(-0.88044183857316,1.64889762572554,0.0200000000000006)); #39952=CARTESIAN_POINT('Origin',(3.18662259,10.59657881,2.77555756156289E-16)); #39953=CARTESIAN_POINT('',(3.18662259,10.59657881,2.77555756156289E-16)); #39954=CARTESIAN_POINT('',(3.7810983132315,10.3385387444501,0.)); #39955=CARTESIAN_POINT('',(3.18662259,10.59657881,0.0200000000000003)); #39956=CARTESIAN_POINT('',(3.18662259,10.59657881,2.77555756156289E-16)); #39957=CARTESIAN_POINT('',(3.14667749,10.61391751,0.0200000000000003)); #39958=CARTESIAN_POINT('Origin',(6.73108502411876,18.9298334568777,5.55111512312578E-16)); #39959=CARTESIAN_POINT('',(3.28480669,10.55549691,2.77555756156289E-16)); #39960=CARTESIAN_POINT('Origin',(6.73108502411876,18.9298334568777,1.06723586105425E-16)); #39961=CARTESIAN_POINT('',(3.28480669,10.55549691,0.0200000000000003)); #39962=CARTESIAN_POINT('',(3.28480669,10.55549691,2.77555756156289E-16)); #39963=CARTESIAN_POINT('Origin',(6.73108502411876,18.9298334568777,0.0200000000000006)); #39964=CARTESIAN_POINT('Origin',(13.3446011494361,32.664447889854,2.77555756156289E-16)); #39965=CARTESIAN_POINT('',(4.05160429,10.22240281,2.77555756156289E-16)); #39966=CARTESIAN_POINT('Origin',(13.3446011494361,32.664447889854,1.8415729989914E-16)); #39967=CARTESIAN_POINT('',(4.05160429,10.22240281,0.0200000000000003)); #39968=CARTESIAN_POINT('',(4.05160429,10.22240281,2.77555756156289E-16)); #39969=CARTESIAN_POINT('Origin',(13.3446011494361,32.664447889854,0.0200000000000003)); #39970=CARTESIAN_POINT('Origin',(4.06016829,10.21686431,2.77555756156289E-16)); #39971=CARTESIAN_POINT('',(4.06016829,10.21686431,2.77555756156289E-16)); #39972=CARTESIAN_POINT('',(4.95820415377679,9.63608761318428,0.)); #39973=CARTESIAN_POINT('',(4.06016829,10.21686431,0.0200000000000003)); #39974=CARTESIAN_POINT('',(4.06016829,10.21686431,2.77555756156289E-16)); #39975=CARTESIAN_POINT('',(4.05160429,10.22240281,0.0200000000000003)); #39976=CARTESIAN_POINT('Origin',(4.06506349,10.20818631,2.77555756156289E-16)); #39977=CARTESIAN_POINT('',(4.06506349,10.20818631,2.77555756156289E-16)); #39978=CARTESIAN_POINT('',(5.75860643976365,7.20594621397745,0.)); #39979=CARTESIAN_POINT('',(4.06506349,10.20818631,0.0200000000000003)); #39980=CARTESIAN_POINT('',(4.06506349,10.20818631,2.77555756156289E-16)); #39981=CARTESIAN_POINT('',(4.06016829,10.21686431,0.0200000000000003)); #39982=CARTESIAN_POINT('Origin',(3.3443449699025,10.1422648284545,2.77555756156289E-16)); #39983=CARTESIAN_POINT('',(4.06116389,10.04250781,2.77555756156289E-16)); #39984=CARTESIAN_POINT('Origin',(3.3443449699025,10.1422648284545,5.71805809168553E-17)); #39985=CARTESIAN_POINT('',(4.06116389,10.04250781,0.0200000000000003)); #39986=CARTESIAN_POINT('',(4.06116389,10.04250781,2.77555756156289E-16)); #39987=CARTESIAN_POINT('Origin',(3.3443449699025,10.1422648284545,0.0200000000000003)); #39988=CARTESIAN_POINT('Origin',(3.80653644249903,25.0962759322943,2.77555756156289E-16)); #39989=CARTESIAN_POINT('',(3.48740508999994,10.04373711,2.77555756156289E-16)); #39990=CARTESIAN_POINT('Origin',(3.80653644249903,25.0962759322943,1.41489071812864E-16)); #39991=CARTESIAN_POINT('',(3.48740508999994,10.04373711,0.0200000000000003)); #39992=CARTESIAN_POINT('',(3.48740508999994,10.04373711,2.77555756156289E-16)); #39993=CARTESIAN_POINT('Origin',(3.80653644249903,25.0962759322943,0.0200000000000003)); #39994=CARTESIAN_POINT('Origin',(11.3392254705048,33.4159518340867,2.77555756156289E-16)); #39995=CARTESIAN_POINT('',(2.70471189,10.32143561,2.77555756156289E-16)); #39996=CARTESIAN_POINT('Origin',(11.3392254705048,33.4159518340867,1.88394167385776E-16)); #39997=CARTESIAN_POINT('',(2.70471189,10.32143561,0.0200000000000003)); #39998=CARTESIAN_POINT('',(2.70471189,10.32143561,2.77555756156289E-16)); #39999=CARTESIAN_POINT('Origin',(11.3392254705048,33.4159518340867,0.0200000000000003)); #40000=CARTESIAN_POINT('Origin',(2.70399049000005,10.31510371,2.77555756156289E-16)); #40001=CARTESIAN_POINT('',(2.70399049000005,10.31510371,2.77555756156289E-16)); #40002=CARTESIAN_POINT('',(2.10659034598465,5.07158004467284,0.)); #40003=CARTESIAN_POINT('',(2.70399049000005,10.31510371,0.0200000000000003)); #40004=CARTESIAN_POINT('',(2.70399049000005,10.31510371,2.77555756156289E-16)); #40005=CARTESIAN_POINT('',(2.70471189,10.32143561,0.0200000000000003)); #40006=CARTESIAN_POINT('Origin',(322.579536512355,216.156521645469,-2.77555756156289E-16)); #40007=CARTESIAN_POINT('',(3.76019859000004,8.68294731000002,2.77555756156289E-16)); #40008=CARTESIAN_POINT('Origin',(322.579536512355,216.156521645469,1.21865832589762E-15)); #40009=CARTESIAN_POINT('',(3.76019859000004,8.68294731000002,0.0200000000000003)); #40010=CARTESIAN_POINT('',(3.76019859000004,8.68294731000002,2.77555756156289E-16)); #40011=CARTESIAN_POINT('Origin',(322.579536512355,216.156521645469,0.0199999999999997)); #40012=CARTESIAN_POINT('Origin',(3.76264979,8.67683711,2.77555756156289E-16)); #40013=CARTESIAN_POINT('',(3.76264979,8.67683711,2.77555756156289E-16)); #40014=CARTESIAN_POINT('',(5.0010104805516,5.58992804849408,0.)); #40015=CARTESIAN_POINT('',(3.76264979,8.67683711,0.0200000000000003)); #40016=CARTESIAN_POINT('',(3.76264979,8.67683711,2.77555756156289E-16)); #40017=CARTESIAN_POINT('',(3.76019859000004,8.68294731000002,0.0200000000000003)); #40018=CARTESIAN_POINT('Origin',(3.76434759,8.67131441000001,2.77555756156289E-16)); #40019=CARTESIAN_POINT('',(3.76434759,8.67131441000001,2.77555756156289E-16)); #40020=CARTESIAN_POINT('',(4.81961198857663,5.23869060624549,0.)); #40021=CARTESIAN_POINT('',(3.76434759,8.67131441000001,0.0200000000000003)); #40022=CARTESIAN_POINT('',(3.76434759,8.67131441000001,2.77555756156289E-16)); #40023=CARTESIAN_POINT('',(3.76264979,8.67683711,0.0200000000000003)); #40024=CARTESIAN_POINT('Origin',(3.77167419,8.67803161,2.77555756156289E-16)); #40025=CARTESIAN_POINT('',(3.77167419,8.67803161,2.77555756156289E-16)); #40026=CARTESIAN_POINT('',(0.585724491824058,5.75707764601134,0.)); #40027=CARTESIAN_POINT('',(3.77167419,8.67803161,0.0200000000000003)); #40028=CARTESIAN_POINT('',(3.77167419,8.67803161,2.77555756156289E-16)); #40029=CARTESIAN_POINT('',(3.76434759,8.67131441000001,0.0200000000000003)); #40030=CARTESIAN_POINT('Origin',(-70.3303264697996,58.2855009037817,2.77555756156289E-16)); #40031=CARTESIAN_POINT('',(4.78307769000033,10.2232077100005,2.77555756156289E-16)); #40032=CARTESIAN_POINT('Origin',(-70.3303264697996,58.2855009037817,3.28604986862287E-16)); #40033=CARTESIAN_POINT('',(4.78307769000033,10.2232077100005,0.0200000000000003)); #40034=CARTESIAN_POINT('',(4.78307769000033,10.2232077100005,2.77555756156289E-16)); #40035=CARTESIAN_POINT('Origin',(-70.3303264697996,58.2855009037817,0.0200000000000003)); #40036=CARTESIAN_POINT('Origin',(5.79547789,10.22320721,2.77555756156289E-16)); #40037=CARTESIAN_POINT('',(5.79547789,10.22320721,2.77555756156289E-16)); #40038=CARTESIAN_POINT('',(2.89774146949822,10.223208641122,-3.08148791101958E-32)); #40039=CARTESIAN_POINT('',(5.79547789,10.22320721,0.0200000000000003)); #40040=CARTESIAN_POINT('',(5.79547789,10.22320721,2.77555756156289E-16)); #40041=CARTESIAN_POINT('',(4.78307769,10.22320771,0.0200000000000003)); #40042=CARTESIAN_POINT('Origin',(5.79811139,10.22055261,2.77555756156289E-16)); #40043=CARTESIAN_POINT('',(5.79811139,10.22055261,2.77555756156289E-16)); #40044=CARTESIAN_POINT('',(6.91520764823577,9.09450600771473,0.)); #40045=CARTESIAN_POINT('',(5.79811139,10.22055261,0.0200000000000003)); #40046=CARTESIAN_POINT('',(5.79811139,10.22055261,2.77555756156289E-16)); #40047=CARTESIAN_POINT('',(5.79547789,10.22320721,0.0200000000000003)); #40048=CARTESIAN_POINT('Origin',(5.79622889,10.21476431,2.77555756156289E-16)); #40049=CARTESIAN_POINT('',(5.79622889,10.21476431,2.77555756156289E-16)); #40050=CARTESIAN_POINT('',(4.01685025354491,4.74354127605715,0.)); #40051=CARTESIAN_POINT('',(5.79622889,10.21476431,0.0200000000000003)); #40052=CARTESIAN_POINT('',(5.79622889,10.21476431,2.77555756156289E-16)); #40053=CARTESIAN_POINT('',(5.79811139,10.22055261,0.0200000000000003)); #40054=CARTESIAN_POINT('Origin',(5.42655358010756,10.3888327966681,2.77555756156289E-16)); #40055=CARTESIAN_POINT('Origin',(5.42655358010756,10.3888327966681,5.85706944562284E-17)); #40056=CARTESIAN_POINT('Origin',(5.42655358010756,10.3888327966681,0.0200000000000003)); #40057=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #40058=CARTESIAN_POINT('Origin',(10.0075942606423,9.75756008355284,2.77555756156289E-16)); #40059=CARTESIAN_POINT('',(10.00763039,9.96055531000001,2.77555756156289E-16)); #40060=CARTESIAN_POINT('',(10.21044449,9.76523131000001,2.77555756156289E-16)); #40061=CARTESIAN_POINT('Origin',(10.0075942606423,9.75756008355284,5.50116727718783E-17)); #40062=CARTESIAN_POINT('',(10.00763039,9.96055531000001,0.0200000000000003)); #40063=CARTESIAN_POINT('',(10.00763039,9.96055531000001,2.77555756156289E-16)); #40064=CARTESIAN_POINT('',(10.21044449,9.76523131000001,0.0200000000000003)); #40065=CARTESIAN_POINT('Origin',(10.0075942606423,9.75756008355284,0.0200000000000003)); #40066=CARTESIAN_POINT('',(10.21044449,9.76523131000001,2.77555756156289E-16)); #40067=CARTESIAN_POINT('Origin',(9.6720774695876,5.98091204454012,5.55111512312578E-16)); #40068=CARTESIAN_POINT('',(9.31475548999999,9.95865981000001,2.77555756156289E-16)); #40069=CARTESIAN_POINT('Origin',(9.6720774695876,5.98091204454012,3.37194927271026E-17)); #40070=CARTESIAN_POINT('',(9.31475548999999,9.95865981000001,0.0200000000000003)); #40071=CARTESIAN_POINT('',(9.31475548999999,9.95865981000001,2.77555756156289E-16)); #40072=CARTESIAN_POINT('Origin',(9.6720774695876,5.98091204454012,0.0200000000000006)); #40073=CARTESIAN_POINT('Origin',(9.33169588711,9.75799990296942,2.77555756156289E-16)); #40074=CARTESIAN_POINT('',(9.13034059,9.76072381,2.77555756156289E-16)); #40075=CARTESIAN_POINT('Origin',(9.33169588711,9.75799990296942,5.50141524083465E-17)); #40076=CARTESIAN_POINT('',(9.13034059,9.76072381,0.0200000000000003)); #40077=CARTESIAN_POINT('',(9.13034059,9.76072381,2.77555756156289E-16)); #40078=CARTESIAN_POINT('Origin',(9.33169588711,9.75799990296942,0.0200000000000003)); #40079=CARTESIAN_POINT('Origin',(9.88656779302784,9.66635024650185,2.77555756156289E-16)); #40080=CARTESIAN_POINT('',(9.12449269,9.66110721,2.77555756156289E-16)); #40081=CARTESIAN_POINT('Origin',(9.88656779302784,9.66635024650185,5.44974452737681E-17)); #40082=CARTESIAN_POINT('',(9.12449269,9.66110721,0.0200000000000003)); #40083=CARTESIAN_POINT('',(9.12449269,9.66110721,2.77555756156289E-16)); #40084=CARTESIAN_POINT('Origin',(9.88656779302784,9.66635024650185,0.0200000000000003)); #40085=CARTESIAN_POINT('Origin',(9.12449269,9.25602791000001,2.77555756156289E-16)); #40086=CARTESIAN_POINT('',(9.12449269,9.25602791000001,2.77555756156289E-16)); #40087=CARTESIAN_POINT('',(9.12449269,4.628013955,0.)); #40088=CARTESIAN_POINT('',(9.12449269,9.25602791000001,0.0200000000000003)); #40089=CARTESIAN_POINT('',(9.12449269,9.25602791000001,2.77555756156289E-16)); #40090=CARTESIAN_POINT('',(9.12449269,9.66110721,0.0200000000000003)); #40091=CARTESIAN_POINT('Origin',(9.41641829344986,9.17316976139555,2.77555756156289E-16)); #40092=CARTESIAN_POINT('',(9.25225069,8.91795411000001,2.77555756156289E-16)); #40093=CARTESIAN_POINT('Origin',(9.41641829344986,9.17316976139555,5.17169670361936E-17)); #40094=CARTESIAN_POINT('',(9.25225069,8.91795411000001,0.0200000000000003)); #40095=CARTESIAN_POINT('',(9.25225069,8.91795411000001,2.77555756156289E-16)); #40096=CARTESIAN_POINT('Origin',(9.41641829344986,9.17316976139555,0.0200000000000003)); #40097=CARTESIAN_POINT('Origin',(9.65917524347729,11.312391992466,2.77555756156289E-16)); #40098=CARTESIAN_POINT('',(10.10895829,8.92563361000001,2.77555756156289E-16)); #40099=CARTESIAN_POINT('Origin',(9.65917524347729,11.312391992466,6.37775838660441E-17)); #40100=CARTESIAN_POINT('',(10.10895829,8.92563361000001,0.0200000000000003)); #40101=CARTESIAN_POINT('',(10.10895829,8.92563361000001,2.77555756156289E-16)); #40102=CARTESIAN_POINT('Origin',(9.65917524347729,11.312391992466,0.0200000000000003)); #40103=CARTESIAN_POINT('Origin',(10.0028703535178,9.1112305177227,2.77555756156289E-16)); #40104=CARTESIAN_POINT('',(10.21469209,9.14008211000001,2.77555756156289E-16)); #40105=CARTESIAN_POINT('Origin',(10.0028703535178,9.1112305177227,5.13677627909221E-17)); #40106=CARTESIAN_POINT('',(10.21469209,9.14008211000001,0.0200000000000003)); #40107=CARTESIAN_POINT('',(10.21469209,9.14008211000001,2.77555756156289E-16)); #40108=CARTESIAN_POINT('Origin',(10.0028703535178,9.1112305177227,0.0200000000000003)); #40109=CARTESIAN_POINT('Origin',(5.99615953003079,9.4240081604236,2.77555756156289E-16)); #40110=CARTESIAN_POINT('Origin',(5.99615953003079,9.4240081604236,5.31311566294724E-17)); #40111=CARTESIAN_POINT('Origin',(5.99615953003079,9.4240081604236,0.0200000000000003)); #40112=CARTESIAN_POINT('Origin',(10.0179046762016,9.09511317967957,2.77555756156289E-16)); #40113=CARTESIAN_POINT('',(9.95109939,8.63813991000001,2.77555756156289E-16)); #40114=CARTESIAN_POINT('',(10.43215849,8.89095321000001,2.77555756156289E-16)); #40115=CARTESIAN_POINT('Origin',(10.0179046762016,9.09511317967957,5.12768956357327E-17)); #40116=CARTESIAN_POINT('',(9.95109939,8.63813991000001,0.0200000000000003)); #40117=CARTESIAN_POINT('',(9.95109939,8.63813991000001,2.77555756156289E-16)); #40118=CARTESIAN_POINT('',(10.43215849,8.89095321000001,0.0200000000000003)); #40119=CARTESIAN_POINT('Origin',(10.0179046762016,9.09511317967957,0.0200000000000003)); #40120=CARTESIAN_POINT('',(10.43215849,8.89095321000001,2.77555756156289E-16)); #40121=CARTESIAN_POINT('Origin',(9.67081140657266,12.5125322540031,2.77555756156289E-16)); #40122=CARTESIAN_POINT('',(9.27725129,8.64800281,2.77555756156289E-16)); #40123=CARTESIAN_POINT('Origin',(9.67081140657266,12.5125322540031,7.05437961960424E-17)); #40124=CARTESIAN_POINT('',(9.27725129,8.64800281,0.0200000000000003)); #40125=CARTESIAN_POINT('',(9.27725129,8.64800281,2.77555756156289E-16)); #40126=CARTESIAN_POINT('Origin',(9.67081140657266,12.5125322540031,0.0200000000000003)); #40127=CARTESIAN_POINT('Origin',(9.31869440914669,9.11050858558916,2.77555756156289E-16)); #40128=CARTESIAN_POINT('',(8.96512319,8.80948281,2.77555756156289E-16)); #40129=CARTESIAN_POINT('Origin',(9.31869440914669,9.11050858558916,5.13636926449067E-17)); #40130=CARTESIAN_POINT('',(8.96512319,8.80948281,0.0200000000000003)); #40131=CARTESIAN_POINT('',(8.96512319,8.80948281,2.77555756156289E-16)); #40132=CARTESIAN_POINT('Origin',(9.31869440914669,9.11050858558916,0.0200000000000003)); #40133=CARTESIAN_POINT('Origin',(9.32024657449505,9.10863063246957,2.77555756156289E-16)); #40134=CARTESIAN_POINT('',(8.85726169,9.14394401000001,2.77555756156289E-16)); #40135=CARTESIAN_POINT('Origin',(9.32024657449505,9.10863063246957,5.13531050244759E-17)); #40136=CARTESIAN_POINT('',(8.85726169,9.14394401000001,0.0200000000000003)); #40137=CARTESIAN_POINT('',(8.85726169,9.14394401000001,2.77555756156289E-16)); #40138=CARTESIAN_POINT('Origin',(9.32024657449505,9.10863063246957,0.0200000000000003)); #40139=CARTESIAN_POINT('Origin',(8.85689359,9.16590761,2.77555756156289E-16)); #40140=CARTESIAN_POINT('',(8.85689359,9.16590761,2.77555756156289E-16)); #40141=CARTESIAN_POINT('',(8.93243673084703,4.65843863878819,3.08148791101958E-32)); #40142=CARTESIAN_POINT('',(8.85689359,9.16590761,0.0200000000000003)); #40143=CARTESIAN_POINT('',(8.85689359,9.16590761,2.77555756156289E-16)); #40144=CARTESIAN_POINT('',(8.85726169,9.14394401000001,0.0200000000000003)); #40145=CARTESIAN_POINT('Origin',(8.85692409,9.69377301000001,2.77555756156289E-16)); #40146=CARTESIAN_POINT('',(8.85692409,9.69377301000001,2.77555756156289E-16)); #40147=CARTESIAN_POINT('',(8.85664402269094,4.84663064517226,0.)); #40148=CARTESIAN_POINT('',(8.85692409,9.69377301000001,0.0200000000000003)); #40149=CARTESIAN_POINT('',(8.85692409,9.69377301000001,2.77555756156289E-16)); #40150=CARTESIAN_POINT('',(8.85689359,9.16590761,0.0200000000000003)); #40151=CARTESIAN_POINT('Origin',(9.33055752955012,9.74326015887845,2.77555756156289E-16)); #40152=CARTESIAN_POINT('',(8.96795849,10.05196641,2.77555756156289E-16)); #40153=CARTESIAN_POINT('Origin',(9.33055752955012,9.74326015887845,5.49310519230069E-17)); #40154=CARTESIAN_POINT('',(8.96795849,10.05196641,0.0200000000000003)); #40155=CARTESIAN_POINT('',(8.96795849,10.05196641,2.77555756156289E-16)); #40156=CARTESIAN_POINT('Origin',(9.33055752955012,9.74326015887845,0.0200000000000003)); #40157=CARTESIAN_POINT('Origin',(9.32814259127277,9.7404732896292,2.77555756156289E-16)); #40158=CARTESIAN_POINT('',(9.30513379,10.21611091,2.77555756156289E-16)); #40159=CARTESIAN_POINT('Origin',(9.32814259127277,9.7404732896292,5.49153399685956E-17)); #40160=CARTESIAN_POINT('',(9.30513379,10.21611091,0.0200000000000003)); #40161=CARTESIAN_POINT('',(9.30513379,10.21611091,2.77555756156289E-16)); #40162=CARTESIAN_POINT('Origin',(9.32814259127277,9.7404732896292,0.0200000000000003)); #40163=CARTESIAN_POINT('Origin',(9.65513311483765,6.82037251706021,5.55111512312578E-16)); #40164=CARTESIAN_POINT('',(10.12657069,10.20139091,2.77555756156289E-16)); #40165=CARTESIAN_POINT('Origin',(9.65513311483765,6.82037251706021,3.84522460408169E-17)); #40166=CARTESIAN_POINT('',(10.12657069,10.20139091,0.0200000000000003)); #40167=CARTESIAN_POINT('',(10.12657069,10.20139091,2.77555756156289E-16)); #40168=CARTESIAN_POINT('Origin',(9.65513311483765,6.82037251706021,0.0200000000000006)); #40169=CARTESIAN_POINT('Origin',(10.0327658180349,9.75708203636114,2.77555756156289E-16)); #40170=CARTESIAN_POINT('',(10.48452189,9.71097151000001,2.77555756156289E-16)); #40171=CARTESIAN_POINT('Origin',(10.0327658180349,9.75708203636114,5.50089776128986E-17)); #40172=CARTESIAN_POINT('',(10.48452189,9.71097151000001,0.0200000000000003)); #40173=CARTESIAN_POINT('',(10.48452189,9.71097151000001,2.77555756156289E-16)); #40174=CARTESIAN_POINT('Origin',(10.0327658180349,9.75708203636114,0.0200000000000003)); #40175=CARTESIAN_POINT('Origin',(8.39327636736963,9.43282985974962,2.77555756156289E-16)); #40176=CARTESIAN_POINT('Origin',(8.39327636736963,9.43282985974962,5.31808920584587E-17)); #40177=CARTESIAN_POINT('Origin',(8.39327636736963,9.43282985974962,0.0200000000000003)); #40178=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #40179=CARTESIAN_POINT('Origin',(13.37369329,9.62121361000001,2.77555756156289E-16)); #40180=CARTESIAN_POINT('',(13.37369329,9.62121361000001,2.77555756156289E-16)); #40181=CARTESIAN_POINT('',(13.37369329,8.89200321,2.77555756156289E-16)); #40182=CARTESIAN_POINT('',(13.37369329,4.810606805,0.)); #40183=CARTESIAN_POINT('',(13.37369329,9.62121361000001,0.0200000000000003)); #40184=CARTESIAN_POINT('',(13.37369329,9.62121361000001,2.77555756156289E-16)); #40185=CARTESIAN_POINT('',(13.37369329,8.89200321,0.0200000000000003)); #40186=CARTESIAN_POINT('',(13.37369329,8.89200321000001,0.0200000000000003)); #40187=CARTESIAN_POINT('',(13.37369329,8.89200321,2.77555756156289E-16)); #40188=CARTESIAN_POINT('Origin',(13.8746291712499,9.71780597316272,2.77555756156289E-16)); #40189=CARTESIAN_POINT('',(13.60735229,10.15235161,2.77555756156289E-16)); #40190=CARTESIAN_POINT('Origin',(13.8746291712499,9.71780597316272,5.47875450090573E-17)); #40191=CARTESIAN_POINT('',(13.60735229,10.15235161,0.0200000000000003)); #40192=CARTESIAN_POINT('',(13.60735229,10.15235161,2.77555756156289E-16)); #40193=CARTESIAN_POINT('Origin',(13.8746291712499,9.71780597316272,0.0200000000000003)); #40194=CARTESIAN_POINT('Origin',(14.0521163196251,8.89693672692895,2.77555756156289E-16)); #40195=CARTESIAN_POINT('',(14.33594229,10.19821471,2.77555756156289E-16)); #40196=CARTESIAN_POINT('Origin',(14.0521163196251,8.89693672692895,5.01596062645727E-17)); #40197=CARTESIAN_POINT('',(14.33594229,10.19821471,0.0200000000000003)); #40198=CARTESIAN_POINT('',(14.33594229,10.19821471,2.77555756156289E-16)); #40199=CARTESIAN_POINT('Origin',(14.0521163196251,8.89693672692895,0.0200000000000003)); #40200=CARTESIAN_POINT('Origin',(14.34019129,10.18850681,2.77555756156289E-16)); #40201=CARTESIAN_POINT('',(14.34019129,10.18850681,2.77555756156289E-16)); #40202=CARTESIAN_POINT('',(15.0586702820332,8.54696239795949,-3.08148791101958E-32)); #40203=CARTESIAN_POINT('',(14.34019129,10.18850681,0.0200000000000003)); #40204=CARTESIAN_POINT('',(14.34019129,10.18850681,2.77555756156289E-16)); #40205=CARTESIAN_POINT('',(14.33594229,10.19821471,0.0200000000000003)); #40206=CARTESIAN_POINT('Origin',(14.1144131353422,10.1104470095062,2.77555756156289E-16)); #40207=CARTESIAN_POINT('',(14.31464029,9.98014661000001,2.77555756156289E-16)); #40208=CARTESIAN_POINT('Origin',(14.1144131353422,10.1104470095062,5.70011967850319E-17)); #40209=CARTESIAN_POINT('',(14.31464029,9.98014661000001,0.0200000000000003)); #40210=CARTESIAN_POINT('',(14.31464029,9.98014661000001,2.77555756156289E-16)); #40211=CARTESIAN_POINT('Origin',(14.1144131353422,10.1104470095062,0.0200000000000003)); #40212=CARTESIAN_POINT('Origin',(13.880544626386,13.9934361918849,2.77555756156289E-16)); #40213=CARTESIAN_POINT('',(13.83331429,9.95701431000001,2.77555756156289E-16)); #40214=CARTESIAN_POINT('Origin',(13.880544626386,13.9934361918849,7.88929123828501E-17)); #40215=CARTESIAN_POINT('',(13.83331429,9.95701431000001,0.0200000000000003)); #40216=CARTESIAN_POINT('',(13.83331429,9.95701431000001,2.77555756156289E-16)); #40217=CARTESIAN_POINT('Origin',(13.880544626386,13.9934361918849,0.0200000000000003)); #40218=CARTESIAN_POINT('Origin',(13.80118529,9.94840261000001,2.77555756156289E-16)); #40219=CARTESIAN_POINT('',(13.80118529,9.94840261000001,2.77555756156289E-16)); #40220=CARTESIAN_POINT('',(6.11922569432279,7.88936773651779,0.)); #40221=CARTESIAN_POINT('',(13.80118529,9.94840261000001,0.0200000000000003)); #40222=CARTESIAN_POINT('',(13.80118529,9.94840261000001,2.77555756156289E-16)); #40223=CARTESIAN_POINT('',(13.83331429,9.95701431000001,0.0200000000000003)); #40224=CARTESIAN_POINT('Origin',(13.9263836921474,9.68114468014023,2.77555756156289E-16)); #40225=CARTESIAN_POINT('',(13.64146429,9.60418771000001,2.77555756156289E-16)); #40226=CARTESIAN_POINT('Origin',(13.9263836921474,9.68114468014023,5.45808540906435E-17)); #40227=CARTESIAN_POINT('',(13.64146429,9.60418771000001,0.0200000000000003)); #40228=CARTESIAN_POINT('',(13.64146429,9.60418771000001,2.77555756156289E-16)); #40229=CARTESIAN_POINT('Origin',(13.9263836921474,9.68114468014023,0.0200000000000003)); #40230=CARTESIAN_POINT('Origin',(13.64139329,8.89252821,2.77555756156289E-16)); #40231=CARTESIAN_POINT('',(13.64139329,8.89252821,2.77555756156289E-16)); #40232=CARTESIAN_POINT('',(13.6409496325173,4.44558367009809,0.)); #40233=CARTESIAN_POINT('',(13.64139329,8.89252821,0.0200000000000003)); #40234=CARTESIAN_POINT('',(13.64139329,8.89252821,2.77555756156289E-16)); #40235=CARTESIAN_POINT('',(13.64146429,9.60418771000001,0.0200000000000003)); #40236=CARTESIAN_POINT('Origin',(13.64155629,8.89150731000001,2.77555756156289E-16)); #40237=CARTESIAN_POINT('',(13.64155629,8.89150731000001,2.77555756156289E-16)); #40238=CARTESIAN_POINT('',(14.1641787673207,5.61822333998782,0.)); #40239=CARTESIAN_POINT('',(13.64155629,8.89150731000001,0.0200000000000003)); #40240=CARTESIAN_POINT('',(13.64155629,8.89150731000001,2.77555756156289E-16)); #40241=CARTESIAN_POINT('',(13.64139329,8.89252821,0.0200000000000003)); #40242=CARTESIAN_POINT('Origin',(14.27028829,8.89150781,2.77555756156289E-16)); #40243=CARTESIAN_POINT('',(14.27028829,8.89150781,2.77555756156289E-16)); #40244=CARTESIAN_POINT('',(7.13514060951251,8.89150213576384,0.)); #40245=CARTESIAN_POINT('',(14.27028829,8.89150781,0.0200000000000003)); #40246=CARTESIAN_POINT('',(14.27028829,8.89150781,2.77555756156289E-16)); #40247=CARTESIAN_POINT('',(13.64155629,8.89150731000001,0.0200000000000003)); #40248=CARTESIAN_POINT('Origin',(14.2759179673765,8.7855477082557,2.77555756156289E-16)); #40249=CARTESIAN_POINT('',(14.31178129,8.88541291000001,2.77555756156289E-16)); #40250=CARTESIAN_POINT('Origin',(14.2759179673765,8.7855477082557,4.95316115411826E-17)); #40251=CARTESIAN_POINT('',(14.31178129,8.88541291000001,0.0200000000000003)); #40252=CARTESIAN_POINT('',(14.31178129,8.88541291000001,2.77555756156289E-16)); #40253=CARTESIAN_POINT('Origin',(14.2759179673765,8.7855477082557,0.0200000000000003)); #40254=CARTESIAN_POINT('Origin',(14.32158529,8.88036411000001,2.77555756156289E-16)); #40255=CARTESIAN_POINT('',(14.32158529,8.88036411000001,2.77555756156289E-16)); #40256=CARTESIAN_POINT('',(10.4690516536278,10.8643167643563,0.)); #40257=CARTESIAN_POINT('',(14.32158529,8.88036411000001,0.0200000000000003)); #40258=CARTESIAN_POINT('',(14.32158529,8.88036411000001,2.77555756156289E-16)); #40259=CARTESIAN_POINT('',(14.31178129,8.88541291000001,0.0200000000000003)); #40260=CARTESIAN_POINT('Origin',(14.32939029,8.87374711000001,2.77555756156289E-16)); #40261=CARTESIAN_POINT('',(14.32939029,8.87374711000001,2.77555756156289E-16)); #40262=CARTESIAN_POINT('',(12.3493680342835,10.5523899371727,-3.08148791101958E-32)); #40263=CARTESIAN_POINT('',(14.32939029,8.87374711000001,0.0200000000000003)); #40264=CARTESIAN_POINT('',(14.32939029,8.87374711000001,2.77555756156289E-16)); #40265=CARTESIAN_POINT('',(14.32158529,8.88036411000001,0.0200000000000003)); #40266=CARTESIAN_POINT('Origin',(14.33540829,8.86552781000001,2.77555756156289E-16)); #40267=CARTESIAN_POINT('',(14.33540829,8.86552781000001,2.77555756156289E-16)); #40268=CARTESIAN_POINT('',(13.9468033788794,9.39627894758267,-3.08148791101958E-32)); #40269=CARTESIAN_POINT('',(14.33540829,8.86552781000001,0.0200000000000003)); #40270=CARTESIAN_POINT('',(14.33540829,8.86552781000001,2.77555756156289E-16)); #40271=CARTESIAN_POINT('',(14.32939029,8.87374711000001,0.0200000000000003)); #40272=CARTESIAN_POINT('Origin',(14.33985129,8.85567211000001,2.77555756156289E-16)); #40273=CARTESIAN_POINT('',(14.33985129,8.85567211000001,2.77555756156289E-16)); #40274=CARTESIAN_POINT('',(14.7877983309258,7.86201204894794,0.)); #40275=CARTESIAN_POINT('',(14.33985129,8.85567211000001,0.0200000000000003)); #40276=CARTESIAN_POINT('',(14.33985129,8.85567211000001,2.77555756156289E-16)); #40277=CARTESIAN_POINT('',(14.33540829,8.86552781000001,0.0200000000000003)); #40278=CARTESIAN_POINT('Origin',(14.1116564380975,8.77524123710619,2.77555756156289E-16)); #40279=CARTESIAN_POINT('',(14.31435729,8.64312621000001,2.77555756156289E-16)); #40280=CARTESIAN_POINT('Origin',(14.1116564380975,8.77524123710619,4.94735051894456E-17)); #40281=CARTESIAN_POINT('',(14.31435729,8.64312621000001,0.0200000000000003)); #40282=CARTESIAN_POINT('',(14.31435729,8.64312621000001,2.77555756156289E-16)); #40283=CARTESIAN_POINT('Origin',(14.1116564380975,8.77524123710619,0.0200000000000003)); #40284=CARTESIAN_POINT('Origin',(14.30254029,8.63964811000001,2.77555756156289E-16)); #40285=CARTESIAN_POINT('',(14.30254029,8.63964811000001,2.77555756156289E-16)); #40286=CARTESIAN_POINT('',(6.55130688415006,6.35822601396041,3.08148791101958E-32)); #40287=CARTESIAN_POINT('',(14.30254029,8.63964811000001,0.0200000000000003)); #40288=CARTESIAN_POINT('',(14.30254029,8.63964811000001,2.77555756156289E-16)); #40289=CARTESIAN_POINT('',(14.31435729,8.64312621000001,0.0200000000000003)); #40290=CARTESIAN_POINT('Origin',(13.9409079805641,17.2876919967326,5.55111512312578E-16)); #40291=CARTESIAN_POINT('',(13.64139229,8.63727401000001,2.77555756156289E-16)); #40292=CARTESIAN_POINT('Origin',(13.9409079805641,17.2876919967326,9.74654367446124E-17)); #40293=CARTESIAN_POINT('',(13.64139229,8.63727401000001,0.0200000000000003)); #40294=CARTESIAN_POINT('',(13.64139229,8.63727401000001,2.77555756156289E-16)); #40295=CARTESIAN_POINT('Origin',(13.9409079805641,17.2876919967326,0.0200000000000006)); #40296=CARTESIAN_POINT('Origin',(11.7516082968167,8.4566657581576,2.77555756156289E-16)); #40297=CARTESIAN_POINT('',(13.64058829,8.26783391000001,2.77555756156289E-16)); #40298=CARTESIAN_POINT('Origin',(11.7516082968167,8.4566657581576,4.76774240122869E-17)); #40299=CARTESIAN_POINT('',(13.64058829,8.26783391000001,0.0200000000000003)); #40300=CARTESIAN_POINT('',(13.64058829,8.26783391000001,2.77555756156289E-16)); #40301=CARTESIAN_POINT('Origin',(11.7516082968167,8.4566657581576,0.0200000000000003)); #40302=CARTESIAN_POINT('Origin',(13.63758629,8.25946921000001,2.77555756156289E-16)); #40303=CARTESIAN_POINT('',(13.63758629,8.25946921000001,2.77555756156289E-16)); #40304=CARTESIAN_POINT('',(11.5465301628591,2.4330011264975,-1.54074395550979E-32)); #40305=CARTESIAN_POINT('',(13.63758629,8.25946921000001,0.0200000000000003)); #40306=CARTESIAN_POINT('',(13.63758629,8.25946921000001,2.77555756156289E-16)); #40307=CARTESIAN_POINT('',(13.64058829,8.26783391000001,0.0200000000000003)); #40308=CARTESIAN_POINT('Origin',(13.63261429,8.25255891000001,2.77555756156289E-16)); #40309=CARTESIAN_POINT('',(13.63261429,8.25255891000001,2.77555756156289E-16)); #40310=CARTESIAN_POINT('',(9.35136354605382,2.3022920121921,1.54074395550979E-32)); #40311=CARTESIAN_POINT('',(13.63261429,8.25255891000001,0.0200000000000003)); #40312=CARTESIAN_POINT('',(13.63261429,8.25255891000001,2.77555756156289E-16)); #40313=CARTESIAN_POINT('',(13.63758629,8.25946921000001,0.0200000000000003)); #40314=CARTESIAN_POINT('Origin',(13.62593029,8.24697231,2.77555756156289E-16)); #40315=CARTESIAN_POINT('',(13.62593029,8.24697231,2.77555756156289E-16)); #40316=CARTESIAN_POINT('',(7.58595279965859,3.19865719217201,0.)); #40317=CARTESIAN_POINT('',(13.62593029,8.24697231,0.0200000000000003)); #40318=CARTESIAN_POINT('',(13.62593029,8.24697231,2.77555756156289E-16)); #40319=CARTESIAN_POINT('',(13.63261429,8.25255891000001,0.0200000000000003)); #40320=CARTESIAN_POINT('Origin',(13.61779629,8.24258151000001,2.77555756156289E-16)); #40321=CARTESIAN_POINT('',(13.61779629,8.24258151000001,2.77555756156289E-16)); #40322=CARTESIAN_POINT('',(6.6225551849075,4.46649291346638,0.)); #40323=CARTESIAN_POINT('',(13.61779629,8.24258151000001,0.0200000000000003)); #40324=CARTESIAN_POINT('',(13.61779629,8.24258151000001,2.77555756156289E-16)); #40325=CARTESIAN_POINT('',(13.62593029,8.24697231,0.0200000000000003)); #40326=CARTESIAN_POINT('Origin',(13.60847229,8.23925321,2.77555756156289E-16)); #40327=CARTESIAN_POINT('',(13.60847229,8.23925321,2.77555756156289E-16)); #40328=CARTESIAN_POINT('',(6.26890565037706,5.61931759795629,0.)); #40329=CARTESIAN_POINT('',(13.60847229,8.23925321,0.0200000000000003)); #40330=CARTESIAN_POINT('',(13.60847229,8.23925321,2.77555756156289E-16)); #40331=CARTESIAN_POINT('',(13.61779629,8.24258151000001,0.0200000000000003)); #40332=CARTESIAN_POINT('Origin',(13.5540328168523,8.3325809897554,2.77555756156289E-16)); #40333=CARTESIAN_POINT('',(13.52126429,8.22962491000001,2.77555756156289E-16)); #40334=CARTESIAN_POINT('Origin',(13.5540328168523,8.3325809897554,4.6977852539822E-17)); #40335=CARTESIAN_POINT('',(13.52126429,8.22962491000001,0.0200000000000003)); #40336=CARTESIAN_POINT('',(13.52126429,8.22962491000001,2.77555756156289E-16)); #40337=CARTESIAN_POINT('Origin',(13.5540328168523,8.3325809897554,0.0200000000000003)); #40338=CARTESIAN_POINT('Origin',(13.5538666776509,8.33317152473059,2.77555756156289E-16)); #40339=CARTESIAN_POINT('',(13.45534129,8.28759121000001,2.77555756156289E-16)); #40340=CARTESIAN_POINT('Origin',(13.5538666776509,8.33317152473059,4.69811818881977E-17)); #40341=CARTESIAN_POINT('',(13.45534129,8.28759121000001,0.0200000000000003)); #40342=CARTESIAN_POINT('',(13.45534129,8.28759121000001,2.77555756156289E-16)); #40343=CARTESIAN_POINT('Origin',(13.5538666776509,8.33317152473059,0.0200000000000003)); #40344=CARTESIAN_POINT('Origin',(17.32465066021,8.51331080769415,2.77555756156289E-16)); #40345=CARTESIAN_POINT('',(13.44909829,8.46233861,2.77555756156289E-16)); #40346=CARTESIAN_POINT('Origin',(17.32465066021,8.51331080769415,4.79967803782809E-17)); #40347=CARTESIAN_POINT('',(13.44909829,8.46233861,0.0200000000000003)); #40348=CARTESIAN_POINT('',(13.44909829,8.46233861,2.77555756156289E-16)); #40349=CARTESIAN_POINT('Origin',(17.32465066021,8.51331080769415,0.0200000000000003)); #40350=CARTESIAN_POINT('Origin',(13.44585729,8.49012491000001,2.77555756156289E-16)); #40351=CARTESIAN_POINT('',(13.44585729,8.49012491000001,2.77555756156289E-16)); #40352=CARTESIAN_POINT('',(13.8441189221699,5.07567961843279,0.)); #40353=CARTESIAN_POINT('',(13.44585729,8.49012491000001,0.0200000000000003)); #40354=CARTESIAN_POINT('',(13.44585729,8.49012491000001,2.77555756156289E-16)); #40355=CARTESIAN_POINT('',(13.44909829,8.46233861,0.0200000000000003)); #40356=CARTESIAN_POINT('Origin',(13.2978418553806,8.48980583730254,2.77555756156289E-16)); #40357=CARTESIAN_POINT('',(13.39899229,8.59786721000001,2.77555756156289E-16)); #40358=CARTESIAN_POINT('Origin',(13.2978418553806,8.48980583730254,4.78642628504744E-17)); #40359=CARTESIAN_POINT('',(13.39899229,8.59786721000001,0.0200000000000003)); #40360=CARTESIAN_POINT('',(13.39899229,8.59786721000001,2.77555756156289E-16)); #40361=CARTESIAN_POINT('Origin',(13.2978418553806,8.48980583730254,0.0200000000000003)); #40362=CARTESIAN_POINT('Origin',(13.2995287410213,8.48800440085333,2.77555756156289E-16)); #40363=CARTESIAN_POINT('',(13.28775129,8.63573431000001,2.77555756156289E-16)); #40364=CARTESIAN_POINT('Origin',(13.2995287410213,8.48800440085333,4.78541066196529E-17)); #40365=CARTESIAN_POINT('',(13.28775129,8.63573431000001,0.0200000000000003)); #40366=CARTESIAN_POINT('',(13.28775129,8.63573431000001,2.77555756156289E-16)); #40367=CARTESIAN_POINT('Origin',(13.2995287410213,8.48800440085333,0.0200000000000003)); #40368=CARTESIAN_POINT('Origin',(13.2232506651169,7.46870220459961,2.77555756156289E-16)); #40369=CARTESIAN_POINT('',(13.21009129,8.63744131000001,2.77555756156289E-16)); #40370=CARTESIAN_POINT('Origin',(13.2232506651169,7.46870220459961,4.21074324105457E-17)); #40371=CARTESIAN_POINT('',(13.21009129,8.63744131000001,0.0200000000000003)); #40372=CARTESIAN_POINT('',(13.21009129,8.63744131000001,2.77555756156289E-16)); #40373=CARTESIAN_POINT('Origin',(13.2232506651169,7.46870220459961,0.0200000000000003)); #40374=CARTESIAN_POINT('Origin',(13.19838529,8.63839931000001,2.77555756156289E-16)); #40375=CARTESIAN_POINT('',(13.19838529,8.63839931000001,2.77555756156289E-16)); #40376=CARTESIAN_POINT('',(6.99422142718985,9.14613798935852,0.)); #40377=CARTESIAN_POINT('',(13.19838529,8.63839931000001,0.0200000000000003)); #40378=CARTESIAN_POINT('',(13.19838529,8.63839931000001,2.77555756156289E-16)); #40379=CARTESIAN_POINT('',(13.21009129,8.63744131000001,0.0200000000000003)); #40380=CARTESIAN_POINT('Origin',(13.2087369073914,8.73988795566469,2.77555756156289E-16)); #40381=CARTESIAN_POINT('',(13.17746729,8.64278331000001,2.77555756156289E-16)); #40382=CARTESIAN_POINT('Origin',(13.2087369073914,8.73988795566469,4.92741886458201E-17)); #40383=CARTESIAN_POINT('',(13.17746729,8.64278331000001,0.0200000000000003)); #40384=CARTESIAN_POINT('',(13.17746729,8.64278331000001,2.77555756156289E-16)); #40385=CARTESIAN_POINT('Origin',(13.2087369073914,8.73988795566469,0.0200000000000003)); #40386=CARTESIAN_POINT('Origin',(13.16857729,8.64662951000001,2.77555756156289E-16)); #40387=CARTESIAN_POINT('',(13.16857729,8.64662951000001,2.77555756156289E-16)); #40388=CARTESIAN_POINT('',(9.19796317826735,10.3644895771016,0.)); #40389=CARTESIAN_POINT('',(13.16857729,8.64662951000001,0.0200000000000003)); #40390=CARTESIAN_POINT('',(13.16857729,8.64662951000001,2.77555756156289E-16)); #40391=CARTESIAN_POINT('',(13.17746729,8.64278331000001,0.0200000000000003)); #40392=CARTESIAN_POINT('Origin',(13.16094529,8.65185851000001,2.77555756156289E-16)); #40393=CARTESIAN_POINT('',(13.16094529,8.65185851000001,2.77555756156289E-16)); #40394=CARTESIAN_POINT('',(10.6997009333472,10.338159183603,-3.08148791101958E-32)); #40395=CARTESIAN_POINT('',(13.16094529,8.65185851000001,0.0200000000000003)); #40396=CARTESIAN_POINT('',(13.16094529,8.65185851000001,2.77555756156289E-16)); #40397=CARTESIAN_POINT('',(13.16857729,8.64662951000001,0.0200000000000003)); #40398=CARTESIAN_POINT('Origin',(13.15473129,8.65868111000001,2.77555756156289E-16)); #40399=CARTESIAN_POINT('',(13.15473129,8.65868111000001,2.77555756156289E-16)); #40400=CARTESIAN_POINT('',(12.3276936110599,9.56671897101343,-3.08148791101958E-32)); #40401=CARTESIAN_POINT('',(13.15473129,8.65868111000001,0.0200000000000003)); #40402=CARTESIAN_POINT('',(13.15473129,8.65868111000001,2.77555756156289E-16)); #40403=CARTESIAN_POINT('',(13.16094529,8.65185851000001,0.0200000000000003)); #40404=CARTESIAN_POINT('Origin',(13.15009429,8.66730661,2.77555756156289E-16)); #40405=CARTESIAN_POINT('',(13.15009429,8.66730661,2.77555756156289E-16)); #40406=CARTESIAN_POINT('',(13.4833084199019,8.0474794636839,0.)); #40407=CARTESIAN_POINT('',(13.15009429,8.66730661,0.0200000000000003)); #40408=CARTESIAN_POINT('',(13.15009429,8.66730661,2.77555756156289E-16)); #40409=CARTESIAN_POINT('',(13.15473129,8.65868111000001,0.0200000000000003)); #40410=CARTESIAN_POINT('Origin',(13.14719529,8.67794481000001,2.77555756156289E-16)); #40411=CARTESIAN_POINT('',(13.14719529,8.67794481000001,2.77555756156289E-16)); #40412=CARTESIAN_POINT('',(13.7934495779089,6.30644347656178,-3.08148791101958E-32)); #40413=CARTESIAN_POINT('',(13.14719529,8.67794481000001,0.0200000000000003)); #40414=CARTESIAN_POINT('',(13.14719529,8.67794481000001,2.77555756156289E-16)); #40415=CARTESIAN_POINT('',(13.15009429,8.66730661,0.0200000000000003)); #40416=CARTESIAN_POINT('Origin',(13.14619329,8.69080751000001,2.77555756156289E-16)); #40417=CARTESIAN_POINT('',(13.14619329,8.69080751000001,2.77555756156289E-16)); #40418=CARTESIAN_POINT('',(13.4430096909913,4.88056766864761,0.)); #40419=CARTESIAN_POINT('',(13.14619329,8.69080751000001,0.0200000000000003)); #40420=CARTESIAN_POINT('',(13.14619329,8.69080751000001,2.77555756156289E-16)); #40421=CARTESIAN_POINT('',(13.14719529,8.67794481000001,0.0200000000000003)); #40422=CARTESIAN_POINT('Origin',(13.14525429,8.81937091000001,2.77555756156289E-16)); #40423=CARTESIAN_POINT('',(13.14525429,8.81937091000001,2.77555756156289E-16)); #40424=CARTESIAN_POINT('',(13.1771093855978,4.45792320247662,-3.08148791101958E-32)); #40425=CARTESIAN_POINT('',(13.14525429,8.81937091000001,0.0200000000000003)); #40426=CARTESIAN_POINT('',(13.14525429,8.81937091000001,2.77555756156289E-16)); #40427=CARTESIAN_POINT('',(13.14619329,8.69080751000001,0.0200000000000003)); #40428=CARTESIAN_POINT('Origin',(13.2955393208626,8.8178043898684,2.77555756156289E-16)); #40429=CARTESIAN_POINT('',(13.15004129,8.85546561,2.77555756156289E-16)); #40430=CARTESIAN_POINT('Origin',(13.2955393208626,8.8178043898684,4.97134699154472E-17)); #40431=CARTESIAN_POINT('',(13.15004129,8.85546561,0.0200000000000003)); #40432=CARTESIAN_POINT('',(13.15004129,8.85546561,2.77555756156289E-16)); #40433=CARTESIAN_POINT('Origin',(13.2955393208626,8.8178043898684,0.0200000000000003)); #40434=CARTESIAN_POINT('Origin',(13.15548829,8.86796971000001,2.77555756156289E-16)); #40435=CARTESIAN_POINT('',(13.15548829,8.86796971000001,2.77555756156289E-16)); #40436=CARTESIAN_POINT('',(10.4829145710321,2.73282577033302,1.54074395550979E-32)); #40437=CARTESIAN_POINT('',(13.15548829,8.86796971000001,0.0200000000000003)); #40438=CARTESIAN_POINT('',(13.15548829,8.86796971000001,2.77555756156289E-16)); #40439=CARTESIAN_POINT('',(13.15004129,8.85546561,0.0200000000000003)); #40440=CARTESIAN_POINT('Origin',(13.16363229,8.87735051,2.77555756156289E-16)); #40441=CARTESIAN_POINT('',(13.16363229,8.87735051,2.77555756156289E-16)); #40442=CARTESIAN_POINT('',(8.13758962208187,3.08802081216341,0.)); #40443=CARTESIAN_POINT('',(13.16363229,8.87735051,0.0200000000000003)); #40444=CARTESIAN_POINT('',(13.16363229,8.87735051,2.77555756156289E-16)); #40445=CARTESIAN_POINT('',(13.15548829,8.86796971000001,0.0200000000000003)); #40446=CARTESIAN_POINT('Origin',(13.17497129,8.88403861,2.77555756156289E-16)); #40447=CARTESIAN_POINT('',(13.17497129,8.88403861,2.77555756156289E-16)); #40448=CARTESIAN_POINT('',(6.3439599610774,4.85489257693042,0.)); #40449=CARTESIAN_POINT('',(13.17497129,8.88403861,0.0200000000000003)); #40450=CARTESIAN_POINT('',(13.17497129,8.88403861,2.77555756156289E-16)); #40451=CARTESIAN_POINT('',(13.16363229,8.87735051,0.0200000000000003)); #40452=CARTESIAN_POINT('Origin',(13.2947864088956,8.37767721224128,2.77555756156289E-16)); #40453=CARTESIAN_POINT('Origin',(13.2947864088956,8.37767721224128,4.72320983362504E-17)); #40454=CARTESIAN_POINT('Origin',(13.2947864088956,8.37767721224128,0.0200000000000003)); #40455=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #40456=CARTESIAN_POINT('Origin',(12.69144529,8.65679001000001,2.77555756156289E-16)); #40457=CARTESIAN_POINT('',(12.69144529,8.65679001000001,2.77555756156289E-16)); #40458=CARTESIAN_POINT('',(12.69542929,8.65260951000001,2.77555756156289E-16)); #40459=CARTESIAN_POINT('',(11.832913660192,9.55766638510355,-3.08148791101958E-32)); #40460=CARTESIAN_POINT('',(12.69144529,8.65679001000001,0.0200000000000003)); #40461=CARTESIAN_POINT('',(12.69144529,8.65679001000001,2.77555756156289E-16)); #40462=CARTESIAN_POINT('',(12.69542929,8.65260951000001,0.0200000000000003)); #40463=CARTESIAN_POINT('',(12.69542929,8.65260951000001,0.0200000000000003)); #40464=CARTESIAN_POINT('',(12.69542929,8.65260951000001,2.77555756156289E-16)); #40465=CARTESIAN_POINT('Origin',(12.68793329,8.66141621000001,2.77555756156289E-16)); #40466=CARTESIAN_POINT('',(12.68793329,8.66141621000001,2.77555756156289E-16)); #40467=CARTESIAN_POINT('',(12.4541923210534,8.96931269933388,0.)); #40468=CARTESIAN_POINT('',(12.68793329,8.66141621000001,0.0200000000000003)); #40469=CARTESIAN_POINT('',(12.68793329,8.66141621000001,2.77555756156289E-16)); #40470=CARTESIAN_POINT('',(12.69144529,8.65679001000001,0.0200000000000003)); #40471=CARTESIAN_POINT('Origin',(12.68491129,8.66646981000001,2.77555756156289E-16)); #40472=CARTESIAN_POINT('',(12.68491129,8.66646981000001,2.77555756156289E-16)); #40473=CARTESIAN_POINT('',(12.922995384855,8.26832891596965,0.)); #40474=CARTESIAN_POINT('',(12.68491129,8.66646981000001,0.0200000000000003)); #40475=CARTESIAN_POINT('',(12.68491129,8.66646981000001,2.77555756156289E-16)); #40476=CARTESIAN_POINT('',(12.68793329,8.66141621000001,0.0200000000000003)); #40477=CARTESIAN_POINT('Origin',(12.68239829,8.67193241000001,2.77555756156289E-16)); #40478=CARTESIAN_POINT('',(12.68239829,8.67193241000001,2.77555756156289E-16)); #40479=CARTESIAN_POINT('',(13.2210845376047,7.50097041391302,0.)); #40480=CARTESIAN_POINT('',(12.68239829,8.67193241000001,0.0200000000000003)); #40481=CARTESIAN_POINT('',(12.68239829,8.67193241000001,2.77555756156289E-16)); #40482=CARTESIAN_POINT('',(12.68491129,8.66646981000001,0.0200000000000003)); #40483=CARTESIAN_POINT('Origin',(12.68041029,8.67778561000001,2.77555756156289E-16)); #40484=CARTESIAN_POINT('',(12.68041029,8.67778561000001,2.77555756156289E-16)); #40485=CARTESIAN_POINT('',(13.3459235163034,6.71833791573693,0.)); #40486=CARTESIAN_POINT('',(12.68041029,8.67778561000001,0.0200000000000003)); #40487=CARTESIAN_POINT('',(12.68041029,8.67778561000001,2.77555756156289E-16)); #40488=CARTESIAN_POINT('',(12.68239829,8.67193241000001,0.0200000000000003)); #40489=CARTESIAN_POINT('Origin',(12.67896829,8.68401161000001,2.77555756156289E-16)); #40490=CARTESIAN_POINT('',(12.67896829,8.68401161000001,2.77555756156289E-16)); #40491=CARTESIAN_POINT('',(13.3106632639282,5.95659627873556,-3.08148791101958E-32)); #40492=CARTESIAN_POINT('',(12.67896829,8.68401161000001,0.0200000000000003)); #40493=CARTESIAN_POINT('',(12.67896829,8.68401161000001,2.77555756156289E-16)); #40494=CARTESIAN_POINT('',(12.68041029,8.67778561000001,0.0200000000000003)); #40495=CARTESIAN_POINT('Origin',(12.67809029,8.69059151,2.77555756156289E-16)); #40496=CARTESIAN_POINT('',(12.67809029,8.69059151,2.77555756156289E-16)); #40497=CARTESIAN_POINT('',(13.1368744122166,5.25237551253694,3.08148791101958E-32)); #40498=CARTESIAN_POINT('',(12.67809029,8.69059151,0.0200000000000003)); #40499=CARTESIAN_POINT('',(12.67809029,8.69059151,2.77555756156289E-16)); #40500=CARTESIAN_POINT('',(12.67896829,8.68401161000001,0.0200000000000003)); #40501=CARTESIAN_POINT('Origin',(12.67779329,8.69750761000001,2.77555756156289E-16)); #40502=CARTESIAN_POINT('',(12.67779329,8.69750761000001,2.77555756156289E-16)); #40503=CARTESIAN_POINT('',(12.8525310739687,4.6284706143896,3.08148791101958E-32)); #40504=CARTESIAN_POINT('',(12.67779329,8.69750761000001,0.0200000000000003)); #40505=CARTESIAN_POINT('',(12.67779329,8.69750761000001,2.77555756156289E-16)); #40506=CARTESIAN_POINT('',(12.67809029,8.69059151,0.0200000000000003)); #40507=CARTESIAN_POINT('Origin',(12.67779329,9.97806091000001,2.77555756156289E-16)); #40508=CARTESIAN_POINT('',(12.67779329,9.97806091000001,2.77555756156289E-16)); #40509=CARTESIAN_POINT('',(12.67779329,4.989030455,0.)); #40510=CARTESIAN_POINT('',(12.67779329,9.97806091000001,0.0200000000000003)); #40511=CARTESIAN_POINT('',(12.67779329,9.97806091000001,2.77555756156289E-16)); #40512=CARTESIAN_POINT('',(12.67779329,8.69750761000001,0.0200000000000003)); #40513=CARTESIAN_POINT('Origin',(13.1449500184659,10.0736534862465,2.77555756156289E-16)); #40514=CARTESIAN_POINT('',(12.68112229,10.18427531,2.77555756156289E-16)); #40515=CARTESIAN_POINT('Origin',(13.1449500184659,10.0736534862465,5.67937603722039E-17)); #40516=CARTESIAN_POINT('',(12.68112229,10.18427531,0.0200000000000003)); #40517=CARTESIAN_POINT('',(12.68112229,10.18427531,2.77555756156289E-16)); #40518=CARTESIAN_POINT('Origin',(13.1449500184659,10.0736534862465,0.0200000000000003)); #40519=CARTESIAN_POINT('Origin',(12.68646629,10.19496651,2.77555756156289E-16)); #40520=CARTESIAN_POINT('',(12.68646629,10.19496651,2.77555756156289E-16)); #40521=CARTESIAN_POINT('',(9.37980015077556,3.57965419195059,1.54074395550979E-32)); #40522=CARTESIAN_POINT('',(12.68646629,10.19496651,0.0200000000000003)); #40523=CARTESIAN_POINT('',(12.68646629,10.19496651,2.77555756156289E-16)); #40524=CARTESIAN_POINT('',(12.68112229,10.18427531,0.0200000000000003)); #40525=CARTESIAN_POINT('Origin',(12.69405829,10.20367541,2.77555756156289E-16)); #40526=CARTESIAN_POINT('',(12.69405829,10.20367541,2.77555756156289E-16)); #40527=CARTESIAN_POINT('',(7.42631608255631,4.16096728164902,-1.54074395550979E-32)); #40528=CARTESIAN_POINT('',(12.69405829,10.20367541,0.0200000000000003)); #40529=CARTESIAN_POINT('',(12.69405829,10.20367541,2.77555756156289E-16)); #40530=CARTESIAN_POINT('',(12.68646629,10.19496651,0.0200000000000003)); #40531=CARTESIAN_POINT('Origin',(12.70368229,10.21059241,2.77555756156289E-16)); #40532=CARTESIAN_POINT('',(12.70368229,10.21059241,2.77555756156289E-16)); #40533=CARTESIAN_POINT('',(6.09589078963112,5.46141391789144,0.)); #40534=CARTESIAN_POINT('',(12.70368229,10.21059241,0.0200000000000003)); #40535=CARTESIAN_POINT('',(12.70368229,10.21059241,2.77555756156289E-16)); #40536=CARTESIAN_POINT('',(12.69405829,10.20367541,0.0200000000000003)); #40537=CARTESIAN_POINT('Origin',(12.71511629,10.21591011,2.77555756156289E-16)); #40538=CARTESIAN_POINT('',(12.71511629,10.21591011,2.77555756156289E-16)); #40539=CARTESIAN_POINT('',(5.53500156511731,6.87659787696983,0.)); #40540=CARTESIAN_POINT('',(12.71511629,10.21591011,0.0200000000000003)); #40541=CARTESIAN_POINT('',(12.71511629,10.21591011,2.77555756156289E-16)); #40542=CARTESIAN_POINT('',(12.70368229,10.21059241,0.0200000000000003)); #40543=CARTESIAN_POINT('Origin',(12.8100641290232,10.0009001355385,2.77555756156289E-16)); #40544=CARTESIAN_POINT('',(12.93954529,10.19706081,2.77555756156289E-16)); #40545=CARTESIAN_POINT('Origin',(12.8100641290232,10.0009001355385,5.63835877995594E-17)); #40546=CARTESIAN_POINT('',(12.93954529,10.19706081,0.0200000000000003)); #40547=CARTESIAN_POINT('',(12.93954529,10.19706081,2.77555756156289E-16)); #40548=CARTESIAN_POINT('Origin',(12.8100641290232,10.0009001355385,0.0200000000000003)); #40549=CARTESIAN_POINT('Origin',(12.94376129,10.18565631,2.77555756156289E-16)); #40550=CARTESIAN_POINT('',(12.94376129,10.18565631,2.77555756156289E-16)); #40551=CARTESIAN_POINT('',(13.8219884611712,7.81000598418845,0.)); #40552=CARTESIAN_POINT('',(12.94376129,10.18565631,0.0200000000000003)); #40553=CARTESIAN_POINT('',(12.94376129,10.18565631,2.77555756156289E-16)); #40554=CARTESIAN_POINT('',(12.93954529,10.19706081,0.0200000000000003)); #40555=CARTESIAN_POINT('Origin',(12.94493829,10.17904391,2.77555756156289E-16)); #40556=CARTESIAN_POINT('',(12.94493829,10.17904391,2.77555756156289E-16)); #40557=CARTESIAN_POINT('',(13.6242726851147,6.3625351978042,3.08148791101958E-32)); #40558=CARTESIAN_POINT('',(12.94493829,10.17904391,0.0200000000000003)); #40559=CARTESIAN_POINT('',(12.94493829,10.17904391,2.77555756156289E-16)); #40560=CARTESIAN_POINT('',(12.94376129,10.18565631,0.0200000000000003)); #40561=CARTESIAN_POINT('Origin',(12.94546629,10.17179701,2.77555756156289E-16)); #40562=CARTESIAN_POINT('',(12.94546629,10.17179701,2.77555756156289E-16)); #40563=CARTESIAN_POINT('',(13.2798833960692,5.58185889254511,0.)); #40564=CARTESIAN_POINT('',(12.94546629,10.17179701,0.0200000000000003)); #40565=CARTESIAN_POINT('',(12.94546629,10.17179701,2.77555756156289E-16)); #40566=CARTESIAN_POINT('',(12.94493829,10.17904391,0.0200000000000003)); #40567=CARTESIAN_POINT('Origin',(-257.282842620923,9.44490831431668,2.77555756156289E-16)); #40568=CARTESIAN_POINT('',(12.94534529,8.67434850999348,2.77555756156289E-16)); #40569=CARTESIAN_POINT('Origin',(-257.282842620923,9.44490831431668,5.32489885892042E-17)); #40570=CARTESIAN_POINT('',(12.94534529,8.67434850999348,0.0200000000000003)); #40571=CARTESIAN_POINT('',(12.94534529,8.67434850999348,2.77555756156289E-16)); #40572=CARTESIAN_POINT('Origin',(-257.282842620923,9.44490831431668,0.0200000000000003)); #40573=CARTESIAN_POINT('Origin',(12.94258529,8.66477081000001,2.77555756156289E-16)); #40574=CARTESIAN_POINT('',(12.94258529,8.66477081000001,2.77555756156289E-16)); #40575=CARTESIAN_POINT('',(11.2936667566311,2.94272478208415,-1.54074395550979E-32)); #40576=CARTESIAN_POINT('',(12.94258529,8.66477081000001,0.0200000000000003)); #40577=CARTESIAN_POINT('',(12.94258529,8.66477081000001,2.77555756156289E-16)); #40578=CARTESIAN_POINT('',(12.94534529,8.67434851000001,0.0200000000000003)); #40579=CARTESIAN_POINT('Origin',(12.93662929,8.65683861,2.77555756156289E-16)); #40580=CARTESIAN_POINT('',(12.93662929,8.65683861,2.77555756156289E-16)); #40581=CARTESIAN_POINT('',(8.52629540154,2.78315652973604,-3.08148791101958E-32)); #40582=CARTESIAN_POINT('',(12.93662929,8.65683861,0.0200000000000003)); #40583=CARTESIAN_POINT('',(12.93662929,8.65683861,2.77555756156289E-16)); #40584=CARTESIAN_POINT('',(12.94258529,8.66477081000001,0.0200000000000003)); #40585=CARTESIAN_POINT('Origin',(12.92785429,8.65040611000001,2.77555756156289E-16)); #40586=CARTESIAN_POINT('',(12.92785429,8.65040611000001,2.77555756156289E-16)); #40587=CARTESIAN_POINT('',(6.66093539362512,4.05645103297336,3.08148791101958E-32)); #40588=CARTESIAN_POINT('',(12.92785429,8.65040611000001,0.0200000000000003)); #40589=CARTESIAN_POINT('',(12.92785429,8.65040611000001,2.77555756156289E-16)); #40590=CARTESIAN_POINT('',(12.93662929,8.65683861,0.0200000000000003)); #40591=CARTESIAN_POINT('Origin',(12.91663729,8.64532871000001,2.77555756156289E-16)); #40592=CARTESIAN_POINT('',(12.91663729,8.64532871000001,2.77555756156289E-16)); #40593=CARTESIAN_POINT('',(5.93263535487508,5.48400469953253,3.08148791101958E-32)); #40594=CARTESIAN_POINT('',(12.91663729,8.64532871000001,0.0200000000000003)); #40595=CARTESIAN_POINT('',(12.91663729,8.64532871000001,2.77555756156289E-16)); #40596=CARTESIAN_POINT('',(12.92785429,8.65040611000001,0.0200000000000003)); #40597=CARTESIAN_POINT('Origin',(12.8139343704316,8.88902270302894,2.77555756156289E-16)); #40598=CARTESIAN_POINT('Origin',(12.8139343704316,8.88902270302894,5.01149881746641E-17)); #40599=CARTESIAN_POINT('Origin',(12.8139343704316,8.88902270302894,0.0200000000000003)); #40600=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #40601=CARTESIAN_POINT('Origin',(6.400885413402,9.11026974716833,2.77555756156289E-16)); #40602=CARTESIAN_POINT('',(6.23677589,8.98220511000001,2.77555756156289E-16)); #40603=CARTESIAN_POINT('',(6.19450709,9.13748141000001,2.77555756156289E-16)); #40604=CARTESIAN_POINT('Origin',(6.400885413402,9.11026974716833,5.13623461094061E-17)); #40605=CARTESIAN_POINT('',(6.23677589,8.98220511000001,0.0200000000000003)); #40606=CARTESIAN_POINT('',(6.23677589,8.98220511000001,2.77555756156289E-16)); #40607=CARTESIAN_POINT('',(6.19450709,9.13748141000001,0.0200000000000003)); #40608=CARTESIAN_POINT('Origin',(6.400885413402,9.11026974716833,0.0200000000000003)); #40609=CARTESIAN_POINT('',(6.19450709,9.13748141000001,2.77555756156289E-16)); #40610=CARTESIAN_POINT('Origin',(6.39962562919146,9.11181728118693,2.77555756156289E-16)); #40611=CARTESIAN_POINT('',(6.37787389,8.90482401000001,2.77555756156289E-16)); #40612=CARTESIAN_POINT('Origin',(6.39962562919146,9.11181728118693,5.13710708760799E-17)); #40613=CARTESIAN_POINT('',(6.37787389,8.90482401000001,0.0200000000000003)); #40614=CARTESIAN_POINT('',(6.37787389,8.90482401000001,2.77555756156289E-16)); #40615=CARTESIAN_POINT('Origin',(6.39962562919146,9.11181728118693,0.0200000000000003)); #40616=CARTESIAN_POINT('Origin',(6.68798332747183,10.7064944047685,2.77555756156289E-16)); #40617=CARTESIAN_POINT('',(7.10710859,8.92702311000001,2.77555756156289E-16)); #40618=CARTESIAN_POINT('Origin',(6.68798332747183,10.7064944047685,6.03616233654405E-17)); #40619=CARTESIAN_POINT('',(7.10710859,8.92702311000001,0.0200000000000003)); #40620=CARTESIAN_POINT('',(7.10710859,8.92702311000001,2.77555756156289E-16)); #40621=CARTESIAN_POINT('Origin',(6.68798332747183,10.7064944047685,0.0200000000000003)); #40622=CARTESIAN_POINT('Origin',(6.93133987882966,9.16049551355811,2.77555756156289E-16)); #40623=CARTESIAN_POINT('',(7.21667199,9.22365871000001,2.77555756156289E-16)); #40624=CARTESIAN_POINT('Origin',(6.93133987882966,9.16049551355811,5.16455115115858E-17)); #40625=CARTESIAN_POINT('',(7.21667199,9.22365871000001,0.0200000000000003)); #40626=CARTESIAN_POINT('',(7.21667199,9.22365871000001,2.77555756156289E-16)); #40627=CARTESIAN_POINT('Origin',(6.93133987882966,9.16049551355811,0.0200000000000003)); #40628=CARTESIAN_POINT('Origin',(2.67064748132342,9.30584445701801,2.77555756156289E-16)); #40629=CARTESIAN_POINT('',(7.21739339,9.31980771000001,2.77555756156289E-16)); #40630=CARTESIAN_POINT('Origin',(2.67064748132342,9.30584445701801,5.24649672409778E-17)); #40631=CARTESIAN_POINT('',(7.21739339,9.31980771000001,0.0200000000000003)); #40632=CARTESIAN_POINT('',(7.21739339,9.31980771000001,2.77555756156289E-16)); #40633=CARTESIAN_POINT('Origin',(2.67064748132342,9.30584445701801,0.0200000000000003)); #40634=CARTESIAN_POINT('Origin',(6.21547129,9.31980771000001,2.77555756156289E-16)); #40635=CARTESIAN_POINT('',(6.21547129,9.31980771000001,2.77555756156289E-16)); #40636=CARTESIAN_POINT('',(3.107735645,9.31980771000001,0.)); #40637=CARTESIAN_POINT('',(6.21547129,9.31980771000001,0.0200000000000003)); #40638=CARTESIAN_POINT('',(6.21547129,9.31980771000001,2.77555756156289E-16)); #40639=CARTESIAN_POINT('',(7.21739339,9.31980771000001,0.0200000000000003)); #40640=CARTESIAN_POINT('Origin',(6.19359349,9.31960171,2.77555756156289E-16)); #40641=CARTESIAN_POINT('',(6.19359349,9.31960171,2.77555756156289E-16)); #40642=CARTESIAN_POINT('',(3.05319877786313,9.29003194929731,0.)); #40643=CARTESIAN_POINT('',(6.19359349,9.31960171,0.0200000000000003)); #40644=CARTESIAN_POINT('',(6.19359349,9.31960171,2.77555756156289E-16)); #40645=CARTESIAN_POINT('',(6.21547129,9.31980771000001,0.0200000000000003)); #40646=CARTESIAN_POINT('Origin',(6.19359349,9.17440381000001,2.77555756156289E-16)); #40647=CARTESIAN_POINT('',(6.19359349,9.17440381000001,2.77555756156289E-16)); #40648=CARTESIAN_POINT('',(6.19359349,4.587201905,0.)); #40649=CARTESIAN_POINT('',(6.19359349,9.17440381000001,0.0200000000000003)); #40650=CARTESIAN_POINT('',(6.19359349,9.17440381000001,2.77555756156289E-16)); #40651=CARTESIAN_POINT('',(6.19359349,9.31960171,0.0200000000000003)); #40652=CARTESIAN_POINT('Origin',(6.19450709,9.13748141000001,2.77555756156289E-16)); #40653=CARTESIAN_POINT('',(6.30559071626869,4.64812711649185,0.)); #40654=CARTESIAN_POINT('',(6.19359349,9.17440381000001,0.0200000000000003)); #40655=CARTESIAN_POINT('Origin',(7.29769329,10.22320771,2.77555756156289E-16)); #40656=CARTESIAN_POINT('',(7.29769329,10.22320771,2.77555756156289E-16)); #40657=CARTESIAN_POINT('',(6.52660609,10.22320771,2.77555756156289E-16)); #40658=CARTESIAN_POINT('',(3.648846645,10.22320771,0.)); #40659=CARTESIAN_POINT('',(7.29769329,10.22320771,0.0200000000000003)); #40660=CARTESIAN_POINT('',(7.29769329,10.22320771,2.77555756156289E-16)); #40661=CARTESIAN_POINT('',(6.52660609,10.22320771,0.0200000000000003)); #40662=CARTESIAN_POINT('',(6.52660609,10.22320771,0.0200000000000003)); #40663=CARTESIAN_POINT('',(6.52660609,10.22320771,2.77555756156289E-16)); #40664=CARTESIAN_POINT('Origin',(7.31215619,10.22211051,2.77555756156289E-16)); #40665=CARTESIAN_POINT('',(7.31215619,10.22211051,2.77555756156289E-16)); #40666=CARTESIAN_POINT('',(4.06252075883066,10.46863783129,3.08148791101958E-32)); #40667=CARTESIAN_POINT('',(7.31215619,10.22211051,0.0200000000000003)); #40668=CARTESIAN_POINT('',(7.31215619,10.22211051,2.77555756156289E-16)); #40669=CARTESIAN_POINT('',(7.29769329,10.22320771,0.0200000000000003)); #40670=CARTESIAN_POINT('Origin',(7.32428359,10.21888321,2.77555756156289E-16)); #40671=CARTESIAN_POINT('',(7.32428359,10.21888321,2.77555756156289E-16)); #40672=CARTESIAN_POINT('',(5.17411826146725,10.791077461428,0.)); #40673=CARTESIAN_POINT('',(7.32428359,10.21888321,0.0200000000000003)); #40674=CARTESIAN_POINT('',(7.32428359,10.21888321,2.77555756156289E-16)); #40675=CARTESIAN_POINT('',(7.31215619,10.22211051,0.0200000000000003)); #40676=CARTESIAN_POINT('Origin',(7.33427049,10.21362081,2.77555756156289E-16)); #40677=CARTESIAN_POINT('',(7.33427049,10.21362081,2.77555756156289E-16)); #40678=CARTESIAN_POINT('',(6.57021304179795,10.6162258140973,-3.08148791101958E-32)); #40679=CARTESIAN_POINT('',(7.33427049,10.21362081,0.0200000000000003)); #40680=CARTESIAN_POINT('',(7.33427049,10.21362081,2.77555756156289E-16)); #40681=CARTESIAN_POINT('',(7.32428359,10.21888321,0.0200000000000003)); #40682=CARTESIAN_POINT('Origin',(7.34231139,10.20641771,2.77555756156289E-16)); #40683=CARTESIAN_POINT('',(7.34231139,10.20641771,2.77555756156289E-16)); #40684=CARTESIAN_POINT('',(7.84181335365323,9.75896001317611,0.)); #40685=CARTESIAN_POINT('',(7.34231139,10.20641771,0.0200000000000003)); #40686=CARTESIAN_POINT('',(7.34231139,10.20641771,2.77555756156289E-16)); #40687=CARTESIAN_POINT('',(7.33427049,10.21362081,0.0200000000000003)); #40688=CARTESIAN_POINT('Origin',(7.34860139,10.19736931,2.77555756156289E-16)); #40689=CARTESIAN_POINT('',(7.34860139,10.19736931,2.77555756156289E-16)); #40690=CARTESIAN_POINT('',(8.54113410309623,8.48186644812799,0.)); #40691=CARTESIAN_POINT('',(7.34860139,10.19736931,0.0200000000000003)); #40692=CARTESIAN_POINT('',(7.34860139,10.19736931,2.77555756156289E-16)); #40693=CARTESIAN_POINT('',(7.34231139,10.20641771,0.0200000000000003)); #40694=CARTESIAN_POINT('Origin',(7.35333489,10.18656991,2.77555756156289E-16)); #40695=CARTESIAN_POINT('',(7.35333489,10.18656991,2.77555756156289E-16)); #40696=CARTESIAN_POINT('',(8.63349036851844,7.26591688903978,0.)); #40697=CARTESIAN_POINT('',(7.35333489,10.18656991,0.0200000000000003)); #40698=CARTESIAN_POINT('',(7.35333489,10.18656991,2.77555756156289E-16)); #40699=CARTESIAN_POINT('',(7.34860139,10.19736931,0.0200000000000003)); #40700=CARTESIAN_POINT('Origin',(7.14522708119479,10.0957003554905,2.77555756156289E-16)); #40701=CARTESIAN_POINT('',(7.33939409,9.97794931000001,2.77555756156289E-16)); #40702=CARTESIAN_POINT('Origin',(7.14522708119479,10.0957003554905,5.69180573425644E-17)); #40703=CARTESIAN_POINT('',(7.33939409,9.97794931000001,0.0200000000000003)); #40704=CARTESIAN_POINT('',(7.33939409,9.97794931000001,2.77555756156289E-16)); #40705=CARTESIAN_POINT('Origin',(7.14522708119479,10.0957003554905,0.0200000000000003)); #40706=CARTESIAN_POINT('Origin',(7.33082679,9.97351861000001,2.77555756156289E-16)); #40707=CARTESIAN_POINT('',(7.33082679,9.97351861000001,2.77555756156289E-16)); #40708=CARTESIAN_POINT('',(2.40412878269647,7.42560726558951,0.)); #40709=CARTESIAN_POINT('',(7.33082679,9.97351861000001,0.0200000000000003)); #40710=CARTESIAN_POINT('',(7.33082679,9.97351861000001,2.77555756156289E-16)); #40711=CARTESIAN_POINT('',(7.33939409,9.97794931000001,0.0200000000000003)); #40712=CARTESIAN_POINT('Origin',(7.2386809465703,10.3062462665728,2.77555756156289E-16)); #40713=CARTESIAN_POINT('',(7.20976929,9.96220751000001,2.77555756156289E-16)); #40714=CARTESIAN_POINT('Origin',(7.2386809465703,10.3062462665728,5.81050838804221E-17)); #40715=CARTESIAN_POINT('',(7.20976929,9.96220751000001,0.0200000000000003)); #40716=CARTESIAN_POINT('',(7.20976929,9.96220751000001,2.77555756156289E-16)); #40717=CARTESIAN_POINT('Origin',(7.2386809465703,10.3062462665728,0.0200000000000003)); #40718=CARTESIAN_POINT('Origin',(6.94449539492462,41.0447372957698,2.77555756156289E-16)); #40719=CARTESIAN_POINT('',(6.47719769,9.96458831000001,2.77555756156289E-16)); #40720=CARTESIAN_POINT('Origin',(6.94449539492462,41.0447372957698,2.31404125394886E-16)); #40721=CARTESIAN_POINT('',(6.47719769,9.96458831000001,0.0200000000000003)); #40722=CARTESIAN_POINT('',(6.47719769,9.96458831000001,2.77555756156289E-16)); #40723=CARTESIAN_POINT('Origin',(6.94449539492462,41.0447372957698,0.0200000000000003)); #40724=CARTESIAN_POINT('Origin',(6.43007709,9.96270581000001,2.77555756156289E-16)); #40725=CARTESIAN_POINT('',(6.43007709,9.96270581000001,2.77555756156289E-16)); #40726=CARTESIAN_POINT('',(3.0214704402579,9.826529657359,-3.08148791101958E-32)); #40727=CARTESIAN_POINT('',(6.43007709,9.96270581000001,0.0200000000000003)); #40728=CARTESIAN_POINT('',(6.43007709,9.96270581000001,2.77555756156289E-16)); #40729=CARTESIAN_POINT('',(6.47719769,9.96458831,0.0200000000000003)); #40730=CARTESIAN_POINT('Origin',(6.41287855713092,9.74664808527099,2.77555756156289E-16)); #40731=CARTESIAN_POINT('',(6.26940349,9.90910311000001,2.77555756156289E-16)); #40732=CARTESIAN_POINT('Origin',(6.41287855713092,9.74664808527099,5.49501525482129E-17)); #40733=CARTESIAN_POINT('',(6.26940349,9.90910311000001,0.0200000000000003)); #40734=CARTESIAN_POINT('',(6.26940349,9.90910311000001,2.77555756156289E-16)); #40735=CARTESIAN_POINT('Origin',(6.41287855713092,9.74664808527099,0.0200000000000003)); #40736=CARTESIAN_POINT('Origin',(6.4144618362794,9.7480950168419,2.77555756156289E-16)); #40737=CARTESIAN_POINT('',(6.19787549,9.75557061000001,2.77555756156289E-16)); #40738=CARTESIAN_POINT('Origin',(6.4144618362794,9.7480950168419,5.49583101332466E-17)); #40739=CARTESIAN_POINT('',(6.19787549,9.75557061000001,0.0200000000000003)); #40740=CARTESIAN_POINT('',(6.19787549,9.75557061000001,2.77555756156289E-16)); #40741=CARTESIAN_POINT('Origin',(6.4144618362794,9.7480950168419,0.0200000000000003)); #40742=CARTESIAN_POINT('Origin',(7.51494696688086,9.63796550236781,2.77555756156289E-16)); #40743=CARTESIAN_POINT('',(6.19451909,9.56740731,2.77555756156289E-16)); #40744=CARTESIAN_POINT('Origin',(7.51494696688086,9.63796550236781,5.43374163072392E-17)); #40745=CARTESIAN_POINT('',(6.19451909,9.56740731,0.0200000000000003)); #40746=CARTESIAN_POINT('',(6.19451909,9.56740731,2.77555756156289E-16)); #40747=CARTESIAN_POINT('Origin',(7.51494696688086,9.63796550236781,0.0200000000000003)); #40748=CARTESIAN_POINT('Origin',(7.43454459,9.56734391000001,2.77555756156289E-16)); #40749=CARTESIAN_POINT('',(7.43454459,9.56734391000001,2.77555756156289E-16)); #40750=CARTESIAN_POINT('',(3.7175168842046,9.56753395412131,3.08148791101958E-32)); #40751=CARTESIAN_POINT('',(7.43454459,9.56734391000001,0.0200000000000003)); #40752=CARTESIAN_POINT('',(7.43454459,9.56734391000001,2.77555756156289E-16)); #40753=CARTESIAN_POINT('',(6.19451909,9.56740731,0.0200000000000003)); #40754=CARTESIAN_POINT('Origin',(7.44043689,9.56683941000001,2.77555756156289E-16)); #40755=CARTESIAN_POINT('',(7.44043689,9.56683941000001,2.77555756156289E-16)); #40756=CARTESIAN_POINT('',(4.15386911583685,9.84823605342609,0.)); #40757=CARTESIAN_POINT('',(7.44043689,9.56683941000001,0.0200000000000003)); #40758=CARTESIAN_POINT('',(7.44043689,9.56683941000001,2.77555756156289E-16)); #40759=CARTESIAN_POINT('',(7.43454459,9.56734391000001,0.0200000000000003)); #40760=CARTESIAN_POINT('Origin',(7.44603539,9.56584571000001,2.77555756156289E-16)); #40761=CARTESIAN_POINT('',(7.44603539,9.56584571000001,2.77555756156289E-16)); #40762=CARTESIAN_POINT('',(4.65973750337389,10.0603967879569,-3.08148791101958E-32)); #40763=CARTESIAN_POINT('',(7.44603539,9.56584571000001,0.0200000000000003)); #40764=CARTESIAN_POINT('',(7.44603539,9.56584571000001,2.77555756156289E-16)); #40765=CARTESIAN_POINT('',(7.44043689,9.56683941000001,0.0200000000000003)); #40766=CARTESIAN_POINT('Origin',(7.45628879,9.56245111000001,2.77555756156289E-16)); #40767=CARTESIAN_POINT('',(7.45628879,9.56245111000001,2.77555756156289E-16)); #40768=CARTESIAN_POINT('',(5.5229742676534,10.2025148427677,3.08148791101958E-32)); #40769=CARTESIAN_POINT('',(7.45628879,9.56245111000001,0.0200000000000003)); #40770=CARTESIAN_POINT('',(7.45628879,9.56245111000001,2.77555756156289E-16)); #40771=CARTESIAN_POINT('',(7.44603539,9.56584571000001,0.0200000000000003)); #40772=CARTESIAN_POINT('Origin',(7.46518179,9.55728501000001,2.77555756156289E-16)); #40773=CARTESIAN_POINT('',(7.46518179,9.55728501000001,2.77555756156289E-16)); #40774=CARTESIAN_POINT('',(6.74995312086033,9.97277391449148,0.)); #40775=CARTESIAN_POINT('',(7.46518179,9.55728501000001,0.0200000000000003)); #40776=CARTESIAN_POINT('',(7.46518179,9.55728501000001,2.77555756156289E-16)); #40777=CARTESIAN_POINT('',(7.45628879,9.56245111000001,0.0200000000000003)); #40778=CARTESIAN_POINT('Origin',(7.47259239,9.55047101,2.77555756156289E-16)); #40779=CARTESIAN_POINT('',(7.47259239,9.55047101,2.77555756156289E-16)); #40780=CARTESIAN_POINT('',(7.82724634493191,9.22436893339251,0.)); #40781=CARTESIAN_POINT('',(7.47259239,9.55047101,0.0200000000000003)); #40782=CARTESIAN_POINT('',(7.47259239,9.55047101,2.77555756156289E-16)); #40783=CARTESIAN_POINT('',(7.46518179,9.55728501000001,0.0200000000000003)); #40784=CARTESIAN_POINT('Origin',(7.47839689,9.54213351,2.77555756156289E-16)); #40785=CARTESIAN_POINT('',(7.47839689,9.54213351,2.77555756156289E-16)); #40786=CARTESIAN_POINT('',(8.4949447545138,8.08197883339005,0.)); #40787=CARTESIAN_POINT('',(7.47839689,9.54213351,0.0200000000000003)); #40788=CARTESIAN_POINT('',(7.47839689,9.54213351,2.77555756156289E-16)); #40789=CARTESIAN_POINT('',(7.47259239,9.55047101,0.0200000000000003)); #40790=CARTESIAN_POINT('Origin',(7.48247339,9.53239601,2.77555756156289E-16)); #40791=CARTESIAN_POINT('',(7.48247339,9.53239601,2.77555756156289E-16)); #40792=CARTESIAN_POINT('',(8.62233536763542,6.80961764443436,0.)); #40793=CARTESIAN_POINT('',(7.48247339,9.53239601,0.0200000000000003)); #40794=CARTESIAN_POINT('',(7.48247339,9.53239601,2.77555756156289E-16)); #40795=CARTESIAN_POINT('',(7.47839689,9.54213351,0.0200000000000003)); #40796=CARTESIAN_POINT('Origin',(6.15379382495576,9.32473457973374,2.77555756156289E-16)); #40797=CARTESIAN_POINT('',(7.42235519,8.87834381000001,2.77555756156289E-16)); #40798=CARTESIAN_POINT('Origin',(6.15379382495576,9.32473457973374,5.25714669438297E-17)); #40799=CARTESIAN_POINT('',(7.42235519,8.87834381000001,0.0200000000000003)); #40800=CARTESIAN_POINT('',(7.42235519,8.87834381000001,2.77555756156289E-16)); #40801=CARTESIAN_POINT('Origin',(6.15379382495576,9.32473457973374,0.0200000000000003)); #40802=CARTESIAN_POINT('Origin',(6.97971750265908,9.14243799411831,2.77555756156289E-16)); #40803=CARTESIAN_POINT('',(6.87808129,8.63712231000001,2.77555756156289E-16)); #40804=CARTESIAN_POINT('Origin',(6.97971750265908,9.14243799411831,5.15437059021929E-17)); #40805=CARTESIAN_POINT('',(6.87808129,8.63712231000001,0.0200000000000003)); #40806=CARTESIAN_POINT('',(6.87808129,8.63712231000001,2.77555756156289E-16)); #40807=CARTESIAN_POINT('Origin',(6.97971750265908,9.14243799411831,0.0200000000000003)); #40808=CARTESIAN_POINT('Origin',(6.6591750487753,10.3608863355389,2.77555756156289E-16)); #40809=CARTESIAN_POINT('',(6.18693779,8.68867991,2.77555756156289E-16)); #40810=CARTESIAN_POINT('Origin',(6.6591750487753,10.3608863355389,5.84131364641065E-17)); #40811=CARTESIAN_POINT('',(6.18693779,8.68867991,0.0200000000000003)); #40812=CARTESIAN_POINT('',(6.18693779,8.68867991,2.77555756156289E-16)); #40813=CARTESIAN_POINT('Origin',(6.6591750487753,10.3608863355389,0.0200000000000003)); #40814=CARTESIAN_POINT('Origin',(6.44441421301126,9.15172608563296,2.77555756156289E-16)); #40815=CARTESIAN_POINT('',(5.92038919,9.22985191000001,2.77555756156289E-16)); #40816=CARTESIAN_POINT('Origin',(6.44441421301126,9.15172608563296,5.15960707809847E-17)); #40817=CARTESIAN_POINT('',(5.92038919,9.22985191000001,0.0200000000000003)); #40818=CARTESIAN_POINT('',(5.92038919,9.22985191000001,2.77555756156289E-16)); #40819=CARTESIAN_POINT('Origin',(6.44441421301126,9.15172608563296,0.0200000000000003)); #40820=CARTESIAN_POINT('Origin',(8.30197337066471,9.30514768121628,2.77555756156289E-16)); #40821=CARTESIAN_POINT('',(5.91926479,9.28747051000001,2.77555756156289E-16)); #40822=CARTESIAN_POINT('Origin',(8.30197337066471,9.30514768121628,5.24610389226203E-17)); #40823=CARTESIAN_POINT('',(5.91926479,9.28747051000001,0.0200000000000003)); #40824=CARTESIAN_POINT('',(5.91926479,9.28747051000001,2.77555756156289E-16)); #40825=CARTESIAN_POINT('Origin',(8.30197337066471,9.30514768121628,0.0200000000000003)); #40826=CARTESIAN_POINT('Origin',(5.91864249,9.44180271000001,2.77555756156289E-16)); #40827=CARTESIAN_POINT('',(5.91864249,9.44180271000001,2.77555756156289E-16)); #40828=CARTESIAN_POINT('',(5.93762973704075,4.73291052336927,0.)); #40829=CARTESIAN_POINT('',(5.91864249,9.44180271000001,0.0200000000000003)); #40830=CARTESIAN_POINT('',(5.91864249,9.44180271000001,2.77555756156289E-16)); #40831=CARTESIAN_POINT('',(5.91926479,9.28747051000001,0.0200000000000003)); #40832=CARTESIAN_POINT('Origin',(6.89577831904787,9.5841500233017,2.77555756156289E-16)); #40833=CARTESIAN_POINT('',(6.02479699,10.04939051,2.77555756156289E-16)); #40834=CARTESIAN_POINT('Origin',(6.89577831904787,9.5841500233017,5.40340126387916E-17)); #40835=CARTESIAN_POINT('',(6.02479699,10.04939051,0.0200000000000003)); #40836=CARTESIAN_POINT('',(6.02479699,10.04939051,2.77555756156289E-16)); #40837=CARTESIAN_POINT('Origin',(6.89577831904787,9.5841500233017,0.0200000000000003)); #40838=CARTESIAN_POINT('Origin',(6.4468307879836,9.64225010958849,2.77555756156289E-16)); #40839=CARTESIAN_POINT('Origin',(6.4468307879836,9.64225010958849,5.43615722856151E-17)); #40840=CARTESIAN_POINT('Origin',(6.4468307879836,9.64225010958849,0.0200000000000003)); #40841=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #40842=CARTESIAN_POINT('Origin',(4.69307709,2.42925671000001,5.55111512312578E-16)); #40843=CARTESIAN_POINT('',(4.69307709,2.42925671000001,5.55111512312578E-16)); #40844=CARTESIAN_POINT('',(4.69060229,2.42537671,5.55111512312578E-16)); #40845=CARTESIAN_POINT('',(3.46380362745995,0.501997523538214,3.08148791101958E-32)); #40846=CARTESIAN_POINT('',(4.69307709,2.42925671000001,0.0200000000000006)); #40847=CARTESIAN_POINT('',(4.69307709,2.42925671000001,5.55111512312578E-16)); #40848=CARTESIAN_POINT('',(4.69060229,2.42537671,0.0200000000000006)); #40849=CARTESIAN_POINT('',(4.69307709,2.42925671000001,0.0200000000000006)); #40850=CARTESIAN_POINT('',(4.69060229,2.42537671,5.55111512312578E-16)); #40851=CARTESIAN_POINT('Origin',(4.69596009,2.43272871,5.55111512312578E-16)); #40852=CARTESIAN_POINT('',(4.69596009,2.43272871,5.55111512312578E-16)); #40853=CARTESIAN_POINT('',(3.1399118414793,0.558778131137371,6.16297582203915E-32)); #40854=CARTESIAN_POINT('',(4.69596009,2.43272871,0.0200000000000006)); #40855=CARTESIAN_POINT('',(4.69596009,2.43272871,5.55111512312578E-16)); #40856=CARTESIAN_POINT('',(4.69596009,2.43272871,0.0200000000000006)); #40857=CARTESIAN_POINT('Origin',(4.69925019,2.43579271,5.55111512312578E-16)); #40858=CARTESIAN_POINT('',(4.69925019,2.43579271,5.55111512312578E-16)); #40859=CARTESIAN_POINT('',(2.83352783863143,0.698285252903972,0.)); #40860=CARTESIAN_POINT('',(4.69925019,2.43579271,0.0200000000000006)); #40861=CARTESIAN_POINT('',(4.69925019,2.43579271,5.55111512312578E-16)); #40862=CARTESIAN_POINT('',(4.69925019,2.43579271,0.0200000000000006)); #40863=CARTESIAN_POINT('Origin',(4.70294859,2.43844871000001,5.55111512312578E-16)); #40864=CARTESIAN_POINT('',(4.70294859,2.43844871000001,5.55111512312578E-16)); #40865=CARTESIAN_POINT('',(2.57391296505951,0.909485206902776,0.)); #40866=CARTESIAN_POINT('',(4.70294859,2.43844871000001,0.0200000000000006)); #40867=CARTESIAN_POINT('',(4.70294859,2.43844871000001,5.55111512312578E-16)); #40868=CARTESIAN_POINT('',(4.70294859,2.43844871000001,0.0200000000000006)); #40869=CARTESIAN_POINT('Origin',(4.70705509,2.44069671000001,5.55111512312578E-16)); #40870=CARTESIAN_POINT('',(4.70705509,2.44069671000001,5.55111512312578E-16)); #40871=CARTESIAN_POINT('',(2.38218232530297,1.16800366847231,0.)); #40872=CARTESIAN_POINT('',(4.70705509,2.44069671000001,0.0200000000000006)); #40873=CARTESIAN_POINT('',(4.70705509,2.44069671000001,5.55111512312578E-16)); #40874=CARTESIAN_POINT('',(4.70705509,2.44069671000001,0.0200000000000006)); #40875=CARTESIAN_POINT('Origin',(4.71156789,2.44253571,5.55111512312578E-16)); #40876=CARTESIAN_POINT('',(4.71156789,2.44253571,5.55111512312578E-16)); #40877=CARTESIAN_POINT('',(2.26447773370437,1.44532803462788,0.)); #40878=CARTESIAN_POINT('',(4.71156789,2.44253571,0.0200000000000006)); #40879=CARTESIAN_POINT('',(4.71156789,2.44253571,5.55111512312578E-16)); #40880=CARTESIAN_POINT('',(4.71156789,2.44253571,0.0200000000000006)); #40881=CARTESIAN_POINT('Origin',(4.71648879,2.44396671,5.55111512312578E-16)); #40882=CARTESIAN_POINT('',(4.71648879,2.44396671,5.55111512312578E-16)); #40883=CARTESIAN_POINT('',(2.21447374496747,1.71637957564694,0.)); #40884=CARTESIAN_POINT('',(4.71648879,2.44396671,0.0200000000000006)); #40885=CARTESIAN_POINT('',(4.71648879,2.44396671,5.55111512312578E-16)); #40886=CARTESIAN_POINT('',(4.71648879,2.44396671,0.0200000000000006)); #40887=CARTESIAN_POINT('Origin',(4.72181699,2.44498971000001,5.55111512312578E-16)); #40888=CARTESIAN_POINT('',(4.72181699,2.44498971000001,5.55111512312578E-16)); #40889=CARTESIAN_POINT('',(2.21847344524107,1.9643545149437,0.)); #40890=CARTESIAN_POINT('',(4.72181699,2.44498971000001,0.0200000000000006)); #40891=CARTESIAN_POINT('',(4.72181699,2.44498971000001,5.55111512312578E-16)); #40892=CARTESIAN_POINT('',(4.72181699,2.44498971000001,0.0200000000000006)); #40893=CARTESIAN_POINT('Origin',(4.72755149,2.44560371,5.55111512312578E-16)); #40894=CARTESIAN_POINT('',(4.72755149,2.44560371,5.55111512312578E-16)); #40895=CARTESIAN_POINT('',(2.26112457308922,2.18152033272554,0.)); #40896=CARTESIAN_POINT('',(4.72755149,2.44560371,0.0200000000000006)); #40897=CARTESIAN_POINT('',(4.72755149,2.44560371,5.55111512312578E-16)); #40898=CARTESIAN_POINT('',(4.72755149,2.44560371,0.0200000000000006)); #40899=CARTESIAN_POINT('Origin',(4.7336930900005,2.44580771000002,5.55111512312578E-16)); #40900=CARTESIAN_POINT('',(4.7336930900005,2.44580771,5.55111512312578E-16)); #40901=CARTESIAN_POINT('',(2.32887970119551,2.36592918790246,0.)); #40902=CARTESIAN_POINT('',(4.7336930900005,2.44580771,0.0200000000000006)); #40903=CARTESIAN_POINT('',(4.7336930900005,2.44580771,5.55111512312578E-16)); #40904=CARTESIAN_POINT('',(4.73369309,2.44580771,0.0200000000000006)); #40905=CARTESIAN_POINT('Origin',(4.91448755874906,-83.9159692805543,8.32667268468867E-16)); #40906=CARTESIAN_POINT('',(5.31295249,2.44507771,5.55111512312578E-16)); #40907=CARTESIAN_POINT('Origin',(4.91448755874906,-83.9159692805543,-4.7310575624106E-16)); #40908=CARTESIAN_POINT('',(5.31295249,2.44507771,0.0200000000000006)); #40909=CARTESIAN_POINT('',(5.31295249,2.44507771,5.55111512312578E-16)); #40910=CARTESIAN_POINT('Origin',(4.91448755874906,-83.9159692805543,0.0200000000000009)); #40911=CARTESIAN_POINT('Origin',(5.31832549,2.44410171,5.55111512312578E-16)); #40912=CARTESIAN_POINT('',(5.31832549,2.44410171,5.55111512312578E-16)); #40913=CARTESIAN_POINT('',(2.95899630424685,2.87267146344829,0.)); #40914=CARTESIAN_POINT('',(5.31832549,2.44410171,0.0200000000000006)); #40915=CARTESIAN_POINT('',(5.31832549,2.44410171,5.55111512312578E-16)); #40916=CARTESIAN_POINT('',(5.31832549,2.44410171,0.0200000000000006)); #40917=CARTESIAN_POINT('Origin',(5.32329179,2.44271571,5.55111512312578E-16)); #40918=CARTESIAN_POINT('',(5.32329179,2.44271571,5.55111512312578E-16)); #40919=CARTESIAN_POINT('',(3.17019984100319,3.04360277709649,0.)); #40920=CARTESIAN_POINT('',(5.32329179,2.44271571,0.0200000000000006)); #40921=CARTESIAN_POINT('',(5.32329179,2.44271571,5.55111512312578E-16)); #40922=CARTESIAN_POINT('',(5.32329179,2.44271571,0.0200000000000006)); #40923=CARTESIAN_POINT('Origin',(5.32785039,2.44092071000001,5.55111512312578E-16)); #40924=CARTESIAN_POINT('',(5.32785039,2.44092071000001,5.55111512312578E-16)); #40925=CARTESIAN_POINT('',(3.43757797895206,3.1852367232099,0.)); #40926=CARTESIAN_POINT('',(5.32785039,2.44092071000001,0.0200000000000006)); #40927=CARTESIAN_POINT('',(5.32785039,2.44092071000001,5.55111512312578E-16)); #40928=CARTESIAN_POINT('',(5.32785039,2.44092071000001,0.0200000000000006)); #40929=CARTESIAN_POINT('Origin',(5.23114626146235,2.35091988119544,5.55111512312578E-16)); #40930=CARTESIAN_POINT('',(5.35096979,2.29529471,5.55111512312578E-16)); #40931=CARTESIAN_POINT('Origin',(5.23114626146235,2.35091988119544,1.32541367011636E-17)); #40932=CARTESIAN_POINT('',(5.35096979,2.29529471,0.0200000000000006)); #40933=CARTESIAN_POINT('',(5.35096979,2.29529471,5.55111512312578E-16)); #40934=CARTESIAN_POINT('Origin',(5.23114626146235,2.35091988119544,0.0200000000000006)); #40935=CARTESIAN_POINT('Origin',(5.35044669,2.28946971,5.55111512312578E-16)); #40936=CARTESIAN_POINT('',(5.35044669,2.28946971,5.55111512312578E-16)); #40937=CARTESIAN_POINT('',(5.22706720105947,0.915572705453946,0.)); #40938=CARTESIAN_POINT('',(5.35044669,2.28946971,0.0200000000000006)); #40939=CARTESIAN_POINT('',(5.35044669,2.28946971,5.55111512312578E-16)); #40940=CARTESIAN_POINT('',(5.35044669,2.28946971,0.0200000000000006)); #40941=CARTESIAN_POINT('Origin',(5.34951539,2.28405171000001,5.55111512312578E-16)); #40942=CARTESIAN_POINT('',(5.34951539,2.28405171000001,5.55111512312578E-16)); #40943=CARTESIAN_POINT('',(5.08208525856049,0.728230328985785,3.08148791101958E-32)); #40944=CARTESIAN_POINT('',(5.34951539,2.28405171000001,0.0200000000000006)); #40945=CARTESIAN_POINT('',(5.34951539,2.28405171000001,5.55111512312578E-16)); #40946=CARTESIAN_POINT('',(5.34951539,2.28405171000001,0.0200000000000006)); #40947=CARTESIAN_POINT('Origin',(5.34817509,2.27904071,5.55111512312578E-16)); #40948=CARTESIAN_POINT('',(5.34817509,2.27904071,5.55111512312578E-16)); #40949=CARTESIAN_POINT('',(4.88520048771843,0.548110521209867,0.)); #40950=CARTESIAN_POINT('',(5.34817509,2.27904071,0.0200000000000006)); #40951=CARTESIAN_POINT('',(5.34817509,2.27904071,5.55111512312578E-16)); #40952=CARTESIAN_POINT('',(5.34817509,2.27904071,0.0200000000000006)); #40953=CARTESIAN_POINT('Origin',(5.34642649,2.27443671,5.55111512312578E-16)); #40954=CARTESIAN_POINT('',(5.34642649,2.27443671,5.55111512312578E-16)); #40955=CARTESIAN_POINT('',(4.63196447662215,0.393284296873711,-3.08148791101958E-32)); #40956=CARTESIAN_POINT('',(5.34642649,2.27443671,0.0200000000000006)); #40957=CARTESIAN_POINT('',(5.34642649,2.27443671,5.55111512312578E-16)); #40958=CARTESIAN_POINT('',(5.34642649,2.27443671,0.0200000000000006)); #40959=CARTESIAN_POINT('Origin',(5.34426929,2.27023971000001,5.55111512312578E-16)); #40960=CARTESIAN_POINT('',(5.34426929,2.27023971000001,5.55111512312578E-16)); #40961=CARTESIAN_POINT('',(4.32434854046453,0.285904745326175,3.08148791101958E-32)); #40962=CARTESIAN_POINT('',(5.34426929,2.27023971000001,0.0200000000000006)); #40963=CARTESIAN_POINT('',(5.34426929,2.27023971000001,5.55111512312578E-16)); #40964=CARTESIAN_POINT('',(5.34426929,2.27023971000001,0.0200000000000006)); #40965=CARTESIAN_POINT('Origin',(5.34170389,2.26645071,5.55111512312578E-16)); #40966=CARTESIAN_POINT('',(5.34170389,2.26645071,5.55111512312578E-16)); #40967=CARTESIAN_POINT('',(3.97609191003072,0.249492811773449,-3.08148791101958E-32)); #40968=CARTESIAN_POINT('',(5.34170389,2.26645071,0.0200000000000006)); #40969=CARTESIAN_POINT('',(5.34170389,2.26645071,5.55111512312578E-16)); #40970=CARTESIAN_POINT('',(5.34170389,2.26645071,0.0200000000000006)); #40971=CARTESIAN_POINT('Origin',(5.33873079,2.26307071,5.55111512312578E-16)); #40972=CARTESIAN_POINT('',(5.33873079,2.26307071,5.55111512312578E-16)); #40973=CARTESIAN_POINT('',(3.61317075597827,0.30134963940147,0.)); #40974=CARTESIAN_POINT('',(5.33873079,2.26307071,0.0200000000000006)); #40975=CARTESIAN_POINT('',(5.33873079,2.26307071,5.55111512312578E-16)); #40976=CARTESIAN_POINT('',(5.33873079,2.26307071,0.0200000000000006)); #40977=CARTESIAN_POINT('Origin',(5.33534959,2.26009671,5.55111512312578E-16)); #40978=CARTESIAN_POINT('',(5.33534959,2.26009671,5.55111512312578E-16)); #40979=CARTESIAN_POINT('',(3.270879922836,0.444252397286806,3.08148791101958E-32)); #40980=CARTESIAN_POINT('',(5.33534959,2.26009671,0.0200000000000006)); #40981=CARTESIAN_POINT('',(5.33534959,2.26009671,5.55111512312578E-16)); #40982=CARTESIAN_POINT('',(5.33534959,2.26009671,0.0200000000000006)); #40983=CARTESIAN_POINT('Origin',(5.33156059,2.25753171,5.55111512312578E-16)); #40984=CARTESIAN_POINT('',(5.33156059,2.25753171,5.55111512312578E-16)); #40985=CARTESIAN_POINT('',(2.97952768672286,0.665300409682778,-3.08148791101958E-32)); #40986=CARTESIAN_POINT('',(5.33156059,2.25753171,0.0200000000000006)); #40987=CARTESIAN_POINT('',(5.33156059,2.25753171,5.55111512312578E-16)); #40988=CARTESIAN_POINT('',(5.33156059,2.25753171,0.0200000000000006)); #40989=CARTESIAN_POINT('Origin',(5.32736399,2.25537371,5.55111512312578E-16)); #40990=CARTESIAN_POINT('',(5.32736399,2.25537371,5.55111512312578E-16)); #40991=CARTESIAN_POINT('',(2.76211929231423,0.936258698415968,0.)); #40992=CARTESIAN_POINT('',(5.32736399,2.25537371,0.0200000000000006)); #40993=CARTESIAN_POINT('',(5.32736399,2.25537371,5.55111512312578E-16)); #40994=CARTESIAN_POINT('',(5.32736399,2.25537371,0.0200000000000006)); #40995=CARTESIAN_POINT('Origin',(5.32276008999999,2.25362571,5.55111512312578E-16)); #40996=CARTESIAN_POINT('',(5.32276008999999,2.25362571,5.55111512312578E-16)); #40997=CARTESIAN_POINT('',(2.62277191637389,1.22849933290718,0.)); #40998=CARTESIAN_POINT('',(5.32276008999999,2.25362571,0.0200000000000006)); #40999=CARTESIAN_POINT('',(5.32276008999999,2.25362571,5.55111512312578E-16)); #41000=CARTESIAN_POINT('',(5.32276009,2.25362571,0.0200000000000006)); #41001=CARTESIAN_POINT('Origin',(5.00148674761454,7.79327092821554,2.77555756156289E-16)); #41002=CARTESIAN_POINT('',(4.72368339,2.25127571,5.55111512312578E-16)); #41003=CARTESIAN_POINT('Origin',(5.00148674761454,7.79327092821554,4.39373026099249E-17)); #41004=CARTESIAN_POINT('',(4.72368339,2.25127571,0.0200000000000006)); #41005=CARTESIAN_POINT('',(4.72368339,2.25127571,5.55111512312578E-16)); #41006=CARTESIAN_POINT('Origin',(5.00148674761454,7.79327092821554,0.0200000000000003)); #41007=CARTESIAN_POINT('Origin',(4.71821979,2.25216171,5.55111512312578E-16)); #41008=CARTESIAN_POINT('',(4.71821979,2.25216171,5.55111512312578E-16)); #41009=CARTESIAN_POINT('',(2.59748912600472,2.59606817612207,0.)); #41010=CARTESIAN_POINT('',(4.71821979,2.25216171,0.0200000000000006)); #41011=CARTESIAN_POINT('',(4.71821979,2.25216171,5.55111512312578E-16)); #41012=CARTESIAN_POINT('',(4.71821979,2.25216171,0.0200000000000006)); #41013=CARTESIAN_POINT('Origin',(4.71316339,2.25345671,5.55111512312578E-16)); #41014=CARTESIAN_POINT('',(4.71316339,2.25345671,5.55111512312578E-16)); #41015=CARTESIAN_POINT('',(2.77244650375593,2.75049578279564,0.)); #41016=CARTESIAN_POINT('',(4.71316339,2.25345671,0.0200000000000006)); #41017=CARTESIAN_POINT('',(4.71316339,2.25345671,5.55111512312578E-16)); #41018=CARTESIAN_POINT('',(4.71316339,2.25345671,0.0200000000000006)); #41019=CARTESIAN_POINT('Origin',(4.70851419,2.25515971000001,5.55111512312578E-16)); #41020=CARTESIAN_POINT('',(4.70851419,2.25515971000001,5.55111512312578E-16)); #41021=CARTESIAN_POINT('',(2.99694021146485,2.88210853677634,0.)); #41022=CARTESIAN_POINT('',(4.70851419,2.25515971000001,0.0200000000000006)); #41023=CARTESIAN_POINT('',(4.70851419,2.25515971000001,5.55111512312578E-16)); #41024=CARTESIAN_POINT('',(4.70851419,2.25515971000001,0.0200000000000006)); #41025=CARTESIAN_POINT('Origin',(4.70427229,2.25727171,5.55111512312578E-16)); #41026=CARTESIAN_POINT('',(4.70427229,2.25727171,5.55111512312578E-16)); #41027=CARTESIAN_POINT('',(3.26969632118815,2.97153287743163,0.)); #41028=CARTESIAN_POINT('',(4.70427229,2.25727171,0.0200000000000006)); #41029=CARTESIAN_POINT('',(4.70427229,2.25727171,5.55111512312578E-16)); #41030=CARTESIAN_POINT('',(4.70427229,2.25727171,0.0200000000000006)); #41031=CARTESIAN_POINT('Origin',(4.80966348333462,2.3457107561913,5.55111512312578E-16)); #41032=CARTESIAN_POINT('',(4.68452119,2.40287871,5.55111512312578E-16)); #41033=CARTESIAN_POINT('Origin',(4.80966348333462,2.3457107561913,1.32247684290031E-17)); #41034=CARTESIAN_POINT('',(4.68452119,2.40287871,0.0200000000000006)); #41035=CARTESIAN_POINT('',(4.68452119,2.40287871,5.55111512312578E-16)); #41036=CARTESIAN_POINT('Origin',(4.80966348333462,2.3457107561913,0.0200000000000006)); #41037=CARTESIAN_POINT('Origin',(4.68478819,2.40578471000001,5.55111512312578E-16)); #41038=CARTESIAN_POINT('',(4.68478819,2.40578471000001,5.55111512312578E-16)); #41039=CARTESIAN_POINT('',(4.55558464770052,0.999546905044783,3.08148791101958E-32)); #41040=CARTESIAN_POINT('',(4.68478819,2.40578471000001,0.0200000000000006)); #41041=CARTESIAN_POINT('',(4.68478819,2.40578471000001,5.55111512312578E-16)); #41042=CARTESIAN_POINT('',(4.68478819,2.40578471000001,0.0200000000000006)); #41043=CARTESIAN_POINT('Origin',(4.68562889,2.41129371,5.55111512312578E-16)); #41044=CARTESIAN_POINT('',(4.68562889,2.41129371,5.55111512312578E-16)); #41045=CARTESIAN_POINT('',(4.45251026917965,0.883697085642486,0.)); #41046=CARTESIAN_POINT('',(4.68562889,2.41129371,0.0200000000000006)); #41047=CARTESIAN_POINT('',(4.68562889,2.41129371,5.55111512312578E-16)); #41048=CARTESIAN_POINT('',(4.68562889,2.41129371,0.0200000000000006)); #41049=CARTESIAN_POINT('Origin',(4.68687819,2.41639571000001,5.55111512312578E-16)); #41050=CARTESIAN_POINT('',(4.68687819,2.41639571000001,5.55111512312578E-16)); #41051=CARTESIAN_POINT('',(4.27520673992221,0.735176036341464,-3.08148791101958E-32)); #41052=CARTESIAN_POINT('',(4.68687819,2.41639571000001,0.0200000000000006)); #41053=CARTESIAN_POINT('',(4.68687819,2.41639571000001,5.55111512312578E-16)); #41054=CARTESIAN_POINT('',(4.68687819,2.41639571000001,0.0200000000000006)); #41055=CARTESIAN_POINT('Origin',(4.68853619,2.42109071,5.55111512312578E-16)); #41056=CARTESIAN_POINT('',(4.68853619,2.42109071,5.55111512312578E-16)); #41057=CARTESIAN_POINT('',(4.04850811835538,0.608707238125373,-3.08148791101958E-32)); #41058=CARTESIAN_POINT('',(4.68853619,2.42109071,0.0200000000000006)); #41059=CARTESIAN_POINT('',(4.68853619,2.42109071,5.55111512312578E-16)); #41060=CARTESIAN_POINT('',(4.68853619,2.42109071,0.0200000000000006)); #41061=CARTESIAN_POINT('Origin',(4.69060229,2.42537671,5.55111512312578E-16)); #41062=CARTESIAN_POINT('',(3.774012661114,0.523966734971461,0.)); #41063=CARTESIAN_POINT('',(4.69060229,2.42537671,0.0200000000000006)); #41064=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #41065=CARTESIAN_POINT('Origin',(3.91782569,3.02164671,5.55111512312578E-16)); #41066=CARTESIAN_POINT('',(3.91782569,3.02164671,5.55111512312578E-16)); #41067=CARTESIAN_POINT('',(3.91674639,3.02684571000001,5.55111512312578E-16)); #41068=CARTESIAN_POINT('',(4.13757568437961,1.96310856418251,0.)); #41069=CARTESIAN_POINT('',(3.91782569,3.02164671,0.0200000000000006)); #41070=CARTESIAN_POINT('',(3.91782569,3.02164671,5.55111512312578E-16)); #41071=CARTESIAN_POINT('',(3.91674639,3.02684571000001,0.0200000000000006)); #41072=CARTESIAN_POINT('',(3.91782569,3.02164671,0.0200000000000006)); #41073=CARTESIAN_POINT('',(3.91674639,3.02684571000001,5.55111512312578E-16)); #41074=CARTESIAN_POINT('Origin',(3.91850519,3.01604971,5.55111512312578E-16)); #41075=CARTESIAN_POINT('',(3.91850519,3.01604971,5.55111512312578E-16)); #41076=CARTESIAN_POINT('',(4.07046869290436,1.76433561764563,0.)); #41077=CARTESIAN_POINT('',(3.91850519,3.01604971,0.0200000000000006)); #41078=CARTESIAN_POINT('',(3.91850519,3.01604971,5.55111512312578E-16)); #41079=CARTESIAN_POINT('',(3.91850519,3.01604971,0.0200000000000006)); #41080=CARTESIAN_POINT('Origin',(3.91878529,3.01005371,5.55111512312578E-16)); #41081=CARTESIAN_POINT('',(3.91878529,3.01005371,5.55111512312578E-16)); #41082=CARTESIAN_POINT('',(3.98467218070787,1.59963672790729,6.16297582203915E-32)); #41083=CARTESIAN_POINT('',(3.91878529,3.01005371,0.0200000000000006)); #41084=CARTESIAN_POINT('',(3.91878529,3.01005371,5.55111512312578E-16)); #41085=CARTESIAN_POINT('',(3.91878529,3.01005371,0.0200000000000006)); #41086=CARTESIAN_POINT('Origin',(3.91882519,1.54940671,5.55111512312578E-16)); #41087=CARTESIAN_POINT('',(3.91882519,1.54940671,5.55111512312578E-16)); #41088=CARTESIAN_POINT('',(3.91884635084736,0.774756880189084,0.)); #41089=CARTESIAN_POINT('',(3.91882519,1.54940671,0.0200000000000006)); #41090=CARTESIAN_POINT('',(3.91882519,1.54940671,5.55111512312578E-16)); #41091=CARTESIAN_POINT('',(3.91882519,1.54940671,0.0200000000000006)); #41092=CARTESIAN_POINT('Origin',(4.19520785705918,-6.88093191829935,2.77555756156289E-16)); #41093=CARTESIAN_POINT('',(4.53259489,1.54718571000001,5.55111512312578E-16)); #41094=CARTESIAN_POINT('Origin',(4.19520785705918,-6.88093191829935,-3.8793670939634E-17)); #41095=CARTESIAN_POINT('',(4.53259489,1.54718571000001,0.0200000000000006)); #41096=CARTESIAN_POINT('',(4.53259489,1.54718571000001,5.55111512312578E-16)); #41097=CARTESIAN_POINT('Origin',(4.19520785705918,-6.88093191829935,0.0200000000000003)); #41098=CARTESIAN_POINT('Origin',(4.54169349,1.54372371,5.55111512312578E-16)); #41099=CARTESIAN_POINT('',(4.54169349,1.54372371,5.55111512312578E-16)); #41100=CARTESIAN_POINT('',(2.81458710794149,2.20088440446812,0.)); #41101=CARTESIAN_POINT('',(4.54169349,1.54372371,0.0200000000000006)); #41102=CARTESIAN_POINT('',(4.54169349,1.54372371,5.55111512312578E-16)); #41103=CARTESIAN_POINT('',(4.54169349,1.54372371,0.0200000000000006)); #41104=CARTESIAN_POINT('Origin',(4.54919859,1.53866371,5.55111512312578E-16)); #41105=CARTESIAN_POINT('',(4.54919859,1.53866371,5.55111512312578E-16)); #41106=CARTESIAN_POINT('',(3.34202018208515,2.35255329762058,0.)); #41107=CARTESIAN_POINT('',(4.54919859,1.53866371,0.0200000000000006)); #41108=CARTESIAN_POINT('',(4.54919859,1.53866371,5.55111512312578E-16)); #41109=CARTESIAN_POINT('',(4.54919859,1.53866371,0.0200000000000006)); #41110=CARTESIAN_POINT('Origin',(4.55510929,1.53200871000001,5.55111512312578E-16)); #41111=CARTESIAN_POINT('',(4.55510929,1.53200871000001,5.55111512312578E-16)); #41112=CARTESIAN_POINT('',(3.93108999442772,2.23460711831575,0.)); #41113=CARTESIAN_POINT('',(4.55510929,1.53200871000001,0.0200000000000006)); #41114=CARTESIAN_POINT('',(4.55510929,1.53200871000001,5.55111512312578E-16)); #41115=CARTESIAN_POINT('',(4.55510929,1.53200871000001,0.0200000000000006)); #41116=CARTESIAN_POINT('Origin',(4.31886761156487,1.43255055244456,5.55111512312578E-16)); #41117=CARTESIAN_POINT('',(4.56259679,1.35318971000001,5.55111512312578E-16)); #41118=CARTESIAN_POINT('Origin',(4.31886761156487,1.43255055244456,8.07650699000963E-18)); #41119=CARTESIAN_POINT('',(4.56259679,1.35318971000001,0.0200000000000006)); #41120=CARTESIAN_POINT('',(4.56259679,1.35318971000001,5.55111512312578E-16)); #41121=CARTESIAN_POINT('Origin',(4.31886761156487,1.43255055244456,0.0200000000000006)); #41122=CARTESIAN_POINT('Origin',(4.56030489,1.34292371,5.55111512312578E-16)); #41123=CARTESIAN_POINT('',(4.56030489,1.34292371,5.55111512312578E-16)); #41124=CARTESIAN_POINT('',(4.30926646502084,0.218459086396446,0.)); #41125=CARTESIAN_POINT('',(4.56030489,1.34292371,0.0200000000000006)); #41126=CARTESIAN_POINT('',(4.56030489,1.34292371,5.55111512312578E-16)); #41127=CARTESIAN_POINT('',(4.56030489,1.34292371,0.0200000000000006)); #41128=CARTESIAN_POINT('Origin',(4.55641579,1.33425071,5.55111512312578E-16)); #41129=CARTESIAN_POINT('',(4.55641579,1.33425071,5.55111512312578E-16)); #41130=CARTESIAN_POINT('',(3.92594681714993,-0.0717448677757759,3.08148791101958E-32)); #41131=CARTESIAN_POINT('',(4.55641579,1.33425071,0.0200000000000006)); #41132=CARTESIAN_POINT('',(4.55641579,1.33425071,5.55111512312578E-16)); #41133=CARTESIAN_POINT('',(4.55641579,1.33425071,0.0200000000000006)); #41134=CARTESIAN_POINT('Origin',(4.55093069,1.32716971,5.55111512312578E-16)); #41135=CARTESIAN_POINT('',(4.55093069,1.32716971,5.55111512312578E-16)); #41136=CARTESIAN_POINT('',(3.37633670421649,-0.189174570566528,-3.08148791101958E-32)); #41137=CARTESIAN_POINT('',(4.55093069,1.32716971,0.0200000000000006)); #41138=CARTESIAN_POINT('',(4.55093069,1.32716971,5.55111512312578E-16)); #41139=CARTESIAN_POINT('',(4.55093069,1.32716971,0.0200000000000006)); #41140=CARTESIAN_POINT('Origin',(4.54385069,1.32168471,5.55111512312578E-16)); #41141=CARTESIAN_POINT('',(4.54385069,1.32168471,5.55111512312578E-16)); #41142=CARTESIAN_POINT('',(2.80412208508483,-0.0261135100504345,0.)); #41143=CARTESIAN_POINT('',(4.54385069,1.32168471,0.0200000000000006)); #41144=CARTESIAN_POINT('',(4.54385069,1.32168471,5.55111512312578E-16)); #41145=CARTESIAN_POINT('',(4.54385069,1.32168471,0.0200000000000006)); #41146=CARTESIAN_POINT('Origin',(4.53517699,1.31779571,5.55111512312578E-16)); #41147=CARTESIAN_POINT('',(4.53517699,1.31779571,5.55111512312578E-16)); #41148=CARTESIAN_POINT('',(2.40116673108412,0.360976140851631,-3.08148791101958E-32)); #41149=CARTESIAN_POINT('',(4.53517699,1.31779571,0.0200000000000006)); #41150=CARTESIAN_POINT('',(4.53517699,1.31779571,5.55111512312578E-16)); #41151=CARTESIAN_POINT('',(4.53517699,1.31779571,0.0200000000000006)); #41152=CARTESIAN_POINT('Origin',(4.52491069,1.31550371,5.55111512312578E-16)); #41153=CARTESIAN_POINT('',(4.52491069,1.31550371,5.55111512312578E-16)); #41154=CARTESIAN_POINT('',(2.22999392044309,0.803152693973999,0.)); #41155=CARTESIAN_POINT('',(4.52491069,1.31550371,0.0200000000000006)); #41156=CARTESIAN_POINT('',(4.52491069,1.31550371,5.55111512312578E-16)); #41157=CARTESIAN_POINT('',(4.52491069,1.31550371,0.0200000000000006)); #41158=CARTESIAN_POINT('Origin',(4.51918078999995,1.31495771,5.55111512312578E-16)); #41159=CARTESIAN_POINT('',(4.51918078999995,1.31495771000001,5.55111512312578E-16)); #41160=CARTESIAN_POINT('',(2.21783595264279,1.09566343240441,-6.16297582203915E-32)); #41161=CARTESIAN_POINT('',(4.51918078999995,1.31495771000001,0.0200000000000006)); #41162=CARTESIAN_POINT('',(4.51918078999995,1.31495771000001,5.55111512312578E-16)); #41163=CARTESIAN_POINT('',(4.51918079,1.31495771,0.0200000000000006)); #41164=CARTESIAN_POINT('Origin',(3.79575752158699,28.0515905101992,2.77555756156289E-16)); #41165=CARTESIAN_POINT('',(3.07670859,1.31483971000001,5.55111512312578E-16)); #41166=CARTESIAN_POINT('Origin',(3.79575752158699,28.0515905101992,1.58150695938725E-16)); #41167=CARTESIAN_POINT('',(3.07670859,1.31483971000001,0.0200000000000006)); #41168=CARTESIAN_POINT('',(3.07670859,1.31483971000001,5.55111512312578E-16)); #41169=CARTESIAN_POINT('Origin',(3.79575752158699,28.0515905101992,0.0200000000000003)); #41170=CARTESIAN_POINT('Origin',(3.07077809,1.31519871000001,5.55111512312578E-16)); #41171=CARTESIAN_POINT('',(3.07077809,1.31519871000001,5.55111512312578E-16)); #41172=CARTESIAN_POINT('',(1.58065695221233,1.40540248514044,0.)); #41173=CARTESIAN_POINT('',(3.07077809,1.31519871000001,0.0200000000000006)); #41174=CARTESIAN_POINT('',(3.07077809,1.31519871000001,5.55111512312578E-16)); #41175=CARTESIAN_POINT('',(3.07077809,1.31519871000001,0.0200000000000006)); #41176=CARTESIAN_POINT('Origin',(3.06524969,1.31595871,5.55111512312578E-16)); #41177=CARTESIAN_POINT('',(3.06524969,1.31595871,5.55111512312578E-16)); #41178=CARTESIAN_POINT('',(1.64982796347853,1.51053951315389,0.)); #41179=CARTESIAN_POINT('',(3.06524969,1.31595871,0.0200000000000006)); #41180=CARTESIAN_POINT('',(3.06524969,1.31595871,5.55111512312578E-16)); #41181=CARTESIAN_POINT('',(3.06524969,1.31595871,0.0200000000000006)); #41182=CARTESIAN_POINT('Origin',(3.05539729,1.31867671000001,5.55111512312578E-16)); #41183=CARTESIAN_POINT('',(3.05539729,1.31867671000001,5.55111512312578E-16)); #41184=CARTESIAN_POINT('',(1.80477083562741,1.66368936711773,0.)); #41185=CARTESIAN_POINT('',(3.05539729,1.31867671000001,0.0200000000000006)); #41186=CARTESIAN_POINT('',(3.05539729,1.31867671000001,5.55111512312578E-16)); #41187=CARTESIAN_POINT('',(3.05539729,1.31867671000001,0.0200000000000006)); #41188=CARTESIAN_POINT('Origin',(3.04714919,1.32299171,5.55111512312578E-16)); #41189=CARTESIAN_POINT('',(3.04714919,1.32299171,5.55111512312578E-16)); #41190=CARTESIAN_POINT('',(2.1226577301883,1.80664014407362,6.16297582203915E-32)); #41191=CARTESIAN_POINT('',(3.04714919,1.32299171,0.0200000000000006)); #41192=CARTESIAN_POINT('',(3.04714919,1.32299171,5.55111512312578E-16)); #41193=CARTESIAN_POINT('',(3.04714919,1.32299171,0.0200000000000006)); #41194=CARTESIAN_POINT('Origin',(3.04050209,1.32890271,5.55111512312578E-16)); #41195=CARTESIAN_POINT('',(3.04050209,1.32890271,5.55111512312578E-16)); #41196=CARTESIAN_POINT('',(2.52152190785486,1.79041101537548,0.)); #41197=CARTESIAN_POINT('',(3.04050209,1.32890271,0.0200000000000006)); #41198=CARTESIAN_POINT('',(3.04050209,1.32890271,5.55111512312578E-16)); #41199=CARTESIAN_POINT('',(3.04050209,1.32890271,0.0200000000000006)); #41200=CARTESIAN_POINT('Origin',(3.27028511121802,1.43130417135402,5.55111512312578E-16)); #41201=CARTESIAN_POINT('',(3.02996639,1.50568971000001,5.55111512312578E-16)); #41202=CARTESIAN_POINT('Origin',(3.27028511121802,1.43130417135402,8.06948007876184E-18)); #41203=CARTESIAN_POINT('',(3.02996639,1.50568971000001,0.0200000000000006)); #41204=CARTESIAN_POINT('',(3.02996639,1.50568971000001,5.55111512312578E-16)); #41205=CARTESIAN_POINT('Origin',(3.27028511121802,1.43130417135402,0.0200000000000006)); #41206=CARTESIAN_POINT('Origin',(3.03150459,1.51669771,5.55111512312578E-16)); #41207=CARTESIAN_POINT('',(3.03150459,1.51669771,5.55111512312578E-16)); #41208=CARTESIAN_POINT('',(2.8985369387539,0.565125804580083,3.08148791101958E-32)); #41209=CARTESIAN_POINT('',(3.03150459,1.51669771,0.0200000000000006)); #41210=CARTESIAN_POINT('',(3.03150459,1.51669771,5.55111512312578E-16)); #41211=CARTESIAN_POINT('',(3.03150459,1.51669771,0.0200000000000006)); #41212=CARTESIAN_POINT('Origin',(3.03463669,1.52611571,5.55111512312578E-16)); #41213=CARTESIAN_POINT('',(3.03463669,1.52611571,5.55111512312578E-16)); #41214=CARTESIAN_POINT('',(2.65503862707156,0.384691567520947,0.)); #41215=CARTESIAN_POINT('',(3.03463669,1.52611571,0.0200000000000006)); #41216=CARTESIAN_POINT('',(3.03463669,1.52611571,5.55111512312578E-16)); #41217=CARTESIAN_POINT('',(3.03463669,1.52611571,0.0200000000000006)); #41218=CARTESIAN_POINT('Origin',(3.03936479,1.53393971,5.55111512312578E-16)); #41219=CARTESIAN_POINT('',(3.03936479,1.53393971,5.55111512312578E-16)); #41220=CARTESIAN_POINT('',(2.29334691076553,0.299438771540135,-3.08148791101958E-32)); #41221=CARTESIAN_POINT('',(3.03936479,1.53393971,0.0200000000000006)); #41222=CARTESIAN_POINT('',(3.03936479,1.53393971,5.55111512312578E-16)); #41223=CARTESIAN_POINT('',(3.03936479,1.53393971,0.0200000000000006)); #41224=CARTESIAN_POINT('Origin',(3.04569149,1.54016871,5.55111512312578E-16)); #41225=CARTESIAN_POINT('',(3.04569149,1.54016871,5.55111512312578E-16)); #41226=CARTESIAN_POINT('',(1.88742402268051,0.399787776190856,3.08148791101958E-32)); #41227=CARTESIAN_POINT('',(3.04569149,1.54016871,0.0200000000000006)); #41228=CARTESIAN_POINT('',(3.04569149,1.54016871,5.55111512312578E-16)); #41229=CARTESIAN_POINT('',(3.04569149,1.54016871,0.0200000000000006)); #41230=CARTESIAN_POINT('Origin',(3.10569002093562,1.42542441436761,5.55111512312578E-16)); #41231=CARTESIAN_POINT('',(3.14303259,1.54940671,5.55111512312578E-16)); #41232=CARTESIAN_POINT('Origin',(3.10569002093562,1.42542441436761,8.03633088321044E-18)); #41233=CARTESIAN_POINT('',(3.14303259,1.54940671,0.0200000000000006)); #41234=CARTESIAN_POINT('',(3.14303259,1.54940671,5.55111512312578E-16)); #41235=CARTESIAN_POINT('Origin',(3.10569002093562,1.42542441436761,0.0200000000000006)); #41236=CARTESIAN_POINT('Origin',(3.67428519,1.54940671,5.55111512312578E-16)); #41237=CARTESIAN_POINT('',(3.67428519,1.54940671,5.55111512312578E-16)); #41238=CARTESIAN_POINT('',(1.837142595,1.54940671,0.)); #41239=CARTESIAN_POINT('',(3.67428519,1.54940671,0.0200000000000006)); #41240=CARTESIAN_POINT('',(3.67428519,1.54940671,5.55111512312578E-16)); #41241=CARTESIAN_POINT('',(3.67428519,1.54940671,0.0200000000000006)); #41242=CARTESIAN_POINT('Origin',(3.67429329,3.00756471000001,5.55111512312578E-16)); #41243=CARTESIAN_POINT('',(3.67429329,3.00756471000001,5.55111512312578E-16)); #41244=CARTESIAN_POINT('',(3.67428493650214,1.50377214978208,0.)); #41245=CARTESIAN_POINT('',(3.67429329,3.00756471000001,0.0200000000000006)); #41246=CARTESIAN_POINT('',(3.67429329,3.00756471000001,5.55111512312578E-16)); #41247=CARTESIAN_POINT('',(3.67429329,3.00756471000001,0.0200000000000006)); #41248=CARTESIAN_POINT('Origin',(3.67489389,3.01908371,5.55111512312578E-16)); #41249=CARTESIAN_POINT('',(3.67489389,3.01908371,5.55111512312578E-16)); #41250=CARTESIAN_POINT('',(3.59141816427598,1.41808989612353,3.08148791101958E-32)); #41251=CARTESIAN_POINT('',(3.67489389,3.01908371,0.0200000000000006)); #41252=CARTESIAN_POINT('',(3.67489389,3.01908371,5.55111512312578E-16)); #41253=CARTESIAN_POINT('',(3.67489389,3.01908371,0.0200000000000006)); #41254=CARTESIAN_POINT('Origin',(3.67707899,3.02945671,5.55111512312578E-16)); #41255=CARTESIAN_POINT('',(3.67707899,3.02945671,5.55111512312578E-16)); #41256=CARTESIAN_POINT('',(3.29343702810987,1.20825032553901,0.)); #41257=CARTESIAN_POINT('',(3.67707899,3.02945671,0.0200000000000006)); #41258=CARTESIAN_POINT('',(3.67707899,3.02945671,5.55111512312578E-16)); #41259=CARTESIAN_POINT('',(3.67707899,3.02945671,0.0200000000000006)); #41260=CARTESIAN_POINT('Origin',(3.68086169,3.03823571,5.55111512312578E-16)); #41261=CARTESIAN_POINT('',(3.68086169,3.03823571,5.55111512312578E-16)); #41262=CARTESIAN_POINT('',(2.84061180295941,1.08815937343347,0.)); #41263=CARTESIAN_POINT('',(3.68086169,3.03823571,0.0200000000000006)); #41264=CARTESIAN_POINT('',(3.68086169,3.03823571,5.55111512312578E-16)); #41265=CARTESIAN_POINT('',(3.68086169,3.03823571,0.0200000000000006)); #41266=CARTESIAN_POINT('Origin',(3.68624039,3.04542271,5.55111512312578E-16)); #41267=CARTESIAN_POINT('',(3.68624039,3.04542271,5.55111512312578E-16)); #41268=CARTESIAN_POINT('',(2.29407679810971,1.18521862073733,0.)); #41269=CARTESIAN_POINT('',(3.68624039,3.04542271,0.0200000000000006)); #41270=CARTESIAN_POINT('',(3.68624039,3.04542271,5.55111512312578E-16)); #41271=CARTESIAN_POINT('',(3.68624039,3.04542271,0.0200000000000006)); #41272=CARTESIAN_POINT('Origin',(3.69321419,3.05101371000001,5.55111512312578E-16)); #41273=CARTESIAN_POINT('',(3.69321419,3.05101371000001,5.55111512312578E-16)); #41274=CARTESIAN_POINT('',(1.82461911893211,1.55293303055038,0.)); #41275=CARTESIAN_POINT('',(3.69321419,3.05101371000001,0.0200000000000006)); #41276=CARTESIAN_POINT('',(3.69321419,3.05101371000001,5.55111512312578E-16)); #41277=CARTESIAN_POINT('',(3.69321419,3.05101371000001,0.0200000000000006)); #41278=CARTESIAN_POINT('Origin',(3.70178179,3.05500871,5.55111512312578E-16)); #41279=CARTESIAN_POINT('',(3.70178179,3.05500871,5.55111512312578E-16)); #41280=CARTESIAN_POINT('',(1.59639761703817,2.07328573379066,-6.16297582203915E-32)); #41281=CARTESIAN_POINT('',(3.70178179,3.05500871,0.0200000000000006)); #41282=CARTESIAN_POINT('',(3.70178179,3.05500871,5.55111512312578E-16)); #41283=CARTESIAN_POINT('',(3.70178179,3.05500871,0.0200000000000006)); #41284=CARTESIAN_POINT('Origin',(3.71194199,3.05740771,5.55111512312578E-16)); #41285=CARTESIAN_POINT('',(3.71194199,3.05740771,5.55111512312578E-16)); #41286=CARTESIAN_POINT('',(1.61208730981918,2.561595484083,-6.16297582203915E-32)); #41287=CARTESIAN_POINT('',(3.71194199,3.05740771,0.0200000000000006)); #41288=CARTESIAN_POINT('',(3.71194199,3.05740771,5.55111512312578E-16)); #41289=CARTESIAN_POINT('',(3.71194199,3.05740771,0.0200000000000006)); #41290=CARTESIAN_POINT('Origin',(3.72369309,3.05820771,5.55111512312578E-16)); #41291=CARTESIAN_POINT('',(3.72369309,3.05820771,5.55111512312578E-16)); #41292=CARTESIAN_POINT('',(1.7668166542491,2.92498604150993,-6.16297582203915E-32)); #41293=CARTESIAN_POINT('',(3.72369309,3.05820771,0.0200000000000006)); #41294=CARTESIAN_POINT('',(3.72369309,3.05820771,5.55111512312578E-16)); #41295=CARTESIAN_POINT('',(3.72369309,3.05820771,0.0200000000000006)); #41296=CARTESIAN_POINT('Origin',(3.75441369060338,-3.11988579270766,5.55111512312578E-16)); #41297=CARTESIAN_POINT('',(3.88400959,3.05692471,5.55111512312578E-16)); #41298=CARTESIAN_POINT('Origin',(3.75441369060338,-3.11988579270766,-1.75894521626736E-17)); #41299=CARTESIAN_POINT('',(3.88400959,3.05692471,0.0200000000000006)); #41300=CARTESIAN_POINT('',(3.88400959,3.05692471,5.55111512312578E-16)); #41301=CARTESIAN_POINT('Origin',(3.75441369060338,-3.11988579270766,0.0200000000000006)); #41302=CARTESIAN_POINT('Origin',(3.89374519,3.05410071,5.55111512312578E-16)); #41303=CARTESIAN_POINT('',(3.89374519,3.05410071,5.55111512312578E-16)); #41304=CARTESIAN_POINT('',(2.50654282238792,3.45648571823084,0.)); #41305=CARTESIAN_POINT('',(3.89374519,3.05410071,0.0200000000000006)); #41306=CARTESIAN_POINT('',(3.89374519,3.05410071,5.55111512312578E-16)); #41307=CARTESIAN_POINT('',(3.89374519,3.05410071,0.0200000000000006)); #41308=CARTESIAN_POINT('Origin',(3.90188789,3.04967971,5.55111512312578E-16)); #41309=CARTESIAN_POINT('',(3.90188789,3.04967971,5.55111512312578E-16)); #41310=CARTESIAN_POINT('',(3.03452562420016,3.52060564084605,0.)); #41311=CARTESIAN_POINT('',(3.90188789,3.04967971,0.0200000000000006)); #41312=CARTESIAN_POINT('',(3.90188789,3.04967971,5.55111512312578E-16)); #41313=CARTESIAN_POINT('',(3.90188789,3.04967971,0.0200000000000006)); #41314=CARTESIAN_POINT('Origin',(3.90843649,3.04366271000001,5.55111512312578E-16)); #41315=CARTESIAN_POINT('',(3.90843649,3.04366271000001,5.55111512312578E-16)); #41316=CARTESIAN_POINT('',(3.60699673660361,3.32063229070206,0.)); #41317=CARTESIAN_POINT('',(3.90843649,3.04366271000001,0.0200000000000006)); #41318=CARTESIAN_POINT('',(3.90843649,3.04366271000001,5.55111512312578E-16)); #41319=CARTESIAN_POINT('',(3.90843649,3.04366271000001,0.0200000000000006)); #41320=CARTESIAN_POINT('Origin',(3.91338969,3.03605171000001,5.55111512312578E-16)); #41321=CARTESIAN_POINT('',(3.91338969,3.03605171000001,5.55111512312578E-16)); #41322=CARTESIAN_POINT('',(4.02522171064444,2.8642125940497,0.)); #41323=CARTESIAN_POINT('',(3.91338969,3.03605171000001,0.0200000000000006)); #41324=CARTESIAN_POINT('',(3.91338969,3.03605171000001,5.55111512312578E-16)); #41325=CARTESIAN_POINT('',(3.91338969,3.03605171000001,0.0200000000000006)); #41326=CARTESIAN_POINT('Origin',(3.91674639,3.02684571000001,5.55111512312578E-16)); #41327=CARTESIAN_POINT('',(4.17400701993191,2.32128925301776,0.)); #41328=CARTESIAN_POINT('',(3.91674639,3.02684571000001,0.0200000000000006)); #41329=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #41330=CARTESIAN_POINT('Origin',(9.05858799,1.97849871,5.55111512312578E-16)); #41331=CARTESIAN_POINT('',(9.05858799,1.97849871,5.55111512312578E-16)); #41332=CARTESIAN_POINT('',(9.05291649,1.97375571,5.55111512312578E-16)); #41333=CARTESIAN_POINT('',(5.90649461064328,-0.657555049726903,0.)); #41334=CARTESIAN_POINT('',(9.05858799,1.97849871,0.0200000000000006)); #41335=CARTESIAN_POINT('',(9.05858799,1.97849871,5.55111512312578E-16)); #41336=CARTESIAN_POINT('',(9.05291649,1.97375571,0.0200000000000006)); #41337=CARTESIAN_POINT('',(9.05858799,1.97849871,0.0200000000000006)); #41338=CARTESIAN_POINT('',(9.05291649,1.97375571,5.55111512312578E-16)); #41339=CARTESIAN_POINT('Origin',(9.16699346515561,1.65079177427851,5.55111512312578E-16)); #41340=CARTESIAN_POINT('',(9.25208949,1.98530971,5.55111512312578E-16)); #41341=CARTESIAN_POINT('Origin',(9.16699346515561,1.65079177427851,9.30691854556863E-18)); #41342=CARTESIAN_POINT('',(9.25208949,1.98530971,0.0200000000000006)); #41343=CARTESIAN_POINT('',(9.25208949,1.98530971,5.55111512312578E-16)); #41344=CARTESIAN_POINT('Origin',(9.16699346515561,1.65079177427851,0.0200000000000006)); #41345=CARTESIAN_POINT('Origin',(9.26181889,1.98258871000001,5.55111512312578E-16)); #41346=CARTESIAN_POINT('',(9.26181889,1.98258871000001,5.55111512312578E-16)); #41347=CARTESIAN_POINT('',(5.22396000339791,3.11184786579896,0.)); #41348=CARTESIAN_POINT('',(9.26181889,1.98258871000001,0.0200000000000006)); #41349=CARTESIAN_POINT('',(9.26181889,1.98258871000001,5.55111512312578E-16)); #41350=CARTESIAN_POINT('',(9.26181889,1.98258871000001,0.0200000000000006)); #41351=CARTESIAN_POINT('Origin',(9.26997379,1.97829871,5.55111512312578E-16)); #41352=CARTESIAN_POINT('',(9.26997379,1.97829871,5.55111512312578E-16)); #41353=CARTESIAN_POINT('',(6.04721902276233,3.67367424513418,0.)); #41354=CARTESIAN_POINT('',(9.26997379,1.97829871,0.0200000000000006)); #41355=CARTESIAN_POINT('',(9.26997379,1.97829871,5.55111512312578E-16)); #41356=CARTESIAN_POINT('',(9.26997379,1.97829871,0.0200000000000006)); #41357=CARTESIAN_POINT('Origin',(9.27655789,1.97244271,5.55111512312578E-16)); #41358=CARTESIAN_POINT('',(9.27655789,1.97244271,5.55111512312578E-16)); #41359=CARTESIAN_POINT('',(7.1766176564858,3.84016191345291,0.)); #41360=CARTESIAN_POINT('',(9.27655789,1.97244271,0.0200000000000006)); #41361=CARTESIAN_POINT('',(9.27655789,1.97244271,5.55111512312578E-16)); #41362=CARTESIAN_POINT('',(9.27655789,1.97244271,0.0200000000000006)); #41363=CARTESIAN_POINT('Origin',(9.28157329,1.96502271,5.55111512312578E-16)); #41364=CARTESIAN_POINT('',(9.28157329,1.96502271,5.55111512312578E-16)); #41365=CARTESIAN_POINT('',(8.28205489894959,3.44375351145021,0.)); #41366=CARTESIAN_POINT('',(9.28157329,1.96502271,0.0200000000000006)); #41367=CARTESIAN_POINT('',(9.28157329,1.96502271,5.55111512312578E-16)); #41368=CARTESIAN_POINT('',(9.28157329,1.96502271,0.0200000000000006)); #41369=CARTESIAN_POINT('Origin',(9.28502079,1.95603871000001,5.55111512312578E-16)); #41370=CARTESIAN_POINT('',(9.28502079,1.95603871000001,5.55111512312578E-16)); #41371=CARTESIAN_POINT('',(9.01626759033449,2.65639512647396,0.)); #41372=CARTESIAN_POINT('',(9.28502079,1.95603871000001,0.0200000000000006)); #41373=CARTESIAN_POINT('',(9.28502079,1.95603871000001,5.55111512312578E-16)); #41374=CARTESIAN_POINT('',(9.28502079,1.95603871000001,0.0200000000000006)); #41375=CARTESIAN_POINT('Origin',(9.28690429,1.94549071000001,5.55111512312578E-16)); #41376=CARTESIAN_POINT('',(9.28690429,1.94549071000001,5.55111512312578E-16)); #41377=CARTESIAN_POINT('',(9.31175192050178,1.80633870228406,0.)); #41378=CARTESIAN_POINT('',(9.28690429,1.94549071000001,0.0200000000000006)); #41379=CARTESIAN_POINT('',(9.28690429,1.94549071000001,5.55111512312578E-16)); #41380=CARTESIAN_POINT('',(9.28690429,1.94549071,0.0200000000000006)); #41381=CARTESIAN_POINT('Origin',(11.0894232889713,1.94781297420245,5.55111512312578E-16)); #41382=CARTESIAN_POINT('',(9.29347989,1.79397071000001,5.55111512312578E-16)); #41383=CARTESIAN_POINT('Origin',(11.0894232889713,1.94781297420245,1.09814799027739E-17)); #41384=CARTESIAN_POINT('',(9.29347989,1.79397071000001,0.0200000000000006)); #41385=CARTESIAN_POINT('',(9.29347989,1.79397071000001,5.55111512312578E-16)); #41386=CARTESIAN_POINT('Origin',(11.0894232889713,1.94781297420245,0.0200000000000006)); #41387=CARTESIAN_POINT('Origin',(9.51119373636492,1.77903973248588,5.55111512312578E-16)); #41388=CARTESIAN_POINT('',(9.47667599,1.56356171,5.55111512312578E-16)); #41389=CARTESIAN_POINT('Origin',(9.51119373636492,1.77903973248588,1.00299614630759E-17)); #41390=CARTESIAN_POINT('',(9.47667599,1.56356171,0.0200000000000006)); #41391=CARTESIAN_POINT('',(9.47667599,1.56356171,5.55111512312578E-16)); #41392=CARTESIAN_POINT('Origin',(9.51119373636492,1.77903973248588,0.0200000000000006)); #41393=CARTESIAN_POINT('Origin',(9.80848804485842,3.68899486849159,5.55111512312578E-16)); #41394=CARTESIAN_POINT('',(10.03149509,1.54940771,5.55111512312578E-16)); #41395=CARTESIAN_POINT('Origin',(9.80848804485842,3.68899486849159,2.07980045036736E-17)); #41396=CARTESIAN_POINT('',(10.03149509,1.54940771,0.0200000000000006)); #41397=CARTESIAN_POINT('',(10.03149509,1.54940771,5.55111512312578E-16)); #41398=CARTESIAN_POINT('Origin',(9.80848804485842,3.68899486849159,0.0200000000000006)); #41399=CARTESIAN_POINT('Origin',(10.052686325942,2.29320192394096,5.55111512312578E-16)); #41400=CARTESIAN_POINT('',(10.25632289,1.57751271,5.55111512312578E-16)); #41401=CARTESIAN_POINT('Origin',(10.052686325942,2.29320192394096,1.29287314410006E-17)); #41402=CARTESIAN_POINT('',(10.25632289,1.57751271,0.0200000000000006)); #41403=CARTESIAN_POINT('',(10.25632289,1.57751271,5.55111512312578E-16)); #41404=CARTESIAN_POINT('Origin',(10.052686325942,2.29320192394096,0.0200000000000006)); #41405=CARTESIAN_POINT('Origin',(10.0956310277355,1.82515550004178,5.55111512312578E-16)); #41406=CARTESIAN_POINT('',(10.37224009,1.92828771000001,5.55111512312578E-16)); #41407=CARTESIAN_POINT('Origin',(10.0956310277355,1.82515550004178,1.02899553029997E-17)); #41408=CARTESIAN_POINT('',(10.37224009,1.92828771000001,0.0200000000000006)); #41409=CARTESIAN_POINT('',(10.37224009,1.92828771000001,5.55111512312578E-16)); #41410=CARTESIAN_POINT('Origin',(10.0956310277355,1.82515550004178,0.0200000000000006)); #41411=CARTESIAN_POINT('Origin',(9.90277546638487,1.69362946051618,5.55111512312578E-16)); #41412=CARTESIAN_POINT('',(10.08907409,2.18429671,5.55111512312578E-16)); #41413=CARTESIAN_POINT('Origin',(9.90277546638487,1.69362946051618,9.54843105048092E-18)); #41414=CARTESIAN_POINT('',(10.08907409,2.18429671,0.0200000000000006)); #41415=CARTESIAN_POINT('',(10.08907409,2.18429671,5.55111512312578E-16)); #41416=CARTESIAN_POINT('Origin',(9.90277546638487,1.69362946051618,0.0200000000000006)); #41417=CARTESIAN_POINT('Origin',(9.07885359,2.80051771000001,5.55111512312578E-16)); #41418=CARTESIAN_POINT('',(9.07885359,2.80051771000001,5.55111512312578E-16)); #41419=CARTESIAN_POINT('',(6.39294773934381,4.43888437272088,0.)); #41420=CARTESIAN_POINT('',(9.07885359,2.80051771000001,0.0200000000000006)); #41421=CARTESIAN_POINT('',(9.07885359,2.80051771000001,5.55111512312578E-16)); #41422=CARTESIAN_POINT('',(9.07885359,2.80051771000001,0.0200000000000006)); #41423=CARTESIAN_POINT('Origin',(9.07108119,2.80617271,5.55111512312578E-16)); #41424=CARTESIAN_POINT('',(9.07108119,2.80617271,5.55111512312578E-16)); #41425=CARTESIAN_POINT('',(6.77294335769913,4.47823918131553,-6.16297582203915E-32)); #41426=CARTESIAN_POINT('',(9.07108119,2.80617271,0.0200000000000006)); #41427=CARTESIAN_POINT('',(9.07108119,2.80617271,5.55111512312578E-16)); #41428=CARTESIAN_POINT('',(9.07108119,2.80617271,0.0200000000000006)); #41429=CARTESIAN_POINT('Origin',(9.06434349,2.81239171000001,5.55111512312578E-16)); #41430=CARTESIAN_POINT('',(9.06434349,2.81239171000001,5.55111512312578E-16)); #41431=CARTESIAN_POINT('',(7.31795782433282,4.42433235069208,-6.16297582203915E-32)); #41432=CARTESIAN_POINT('',(9.06434349,2.81239171000001,0.0200000000000006)); #41433=CARTESIAN_POINT('',(9.06434349,2.81239171000001,5.55111512312578E-16)); #41434=CARTESIAN_POINT('',(9.06434349,2.81239171000001,0.0200000000000006)); #41435=CARTESIAN_POINT('Origin',(9.05862429,2.81908171,5.55111512312578E-16)); #41436=CARTESIAN_POINT('',(9.05862429,2.81908171,5.55111512312578E-16)); #41437=CARTESIAN_POINT('',(7.84234727523509,4.24181447485769,0.)); #41438=CARTESIAN_POINT('',(9.05862429,2.81908171,0.0200000000000006)); #41439=CARTESIAN_POINT('',(9.05862429,2.81908171,5.55111512312578E-16)); #41440=CARTESIAN_POINT('',(9.05862429,2.81908171,0.0200000000000006)); #41441=CARTESIAN_POINT('Origin',(9.05392359,2.82623971,5.55111512312578E-16)); #41442=CARTESIAN_POINT('',(9.05392359,2.82623971,5.55111512312578E-16)); #41443=CARTESIAN_POINT('',(8.3382583452457,3.91602034308745,0.)); #41444=CARTESIAN_POINT('',(9.05392359,2.82623971,0.0200000000000006)); #41445=CARTESIAN_POINT('',(9.05392359,2.82623971,5.55111512312578E-16)); #41446=CARTESIAN_POINT('',(9.05392359,2.82623971,0.0200000000000006)); #41447=CARTESIAN_POINT('Origin',(9.34750016407825,2.93522443791658,5.55111512312578E-16)); #41448=CARTESIAN_POINT('',(9.04598809,3.01981371000001,5.55111512312578E-16)); #41449=CARTESIAN_POINT('Origin',(9.34750016407825,2.93522443791658,1.65483589040728E-17)); #41450=CARTESIAN_POINT('',(9.04598809,3.01981371000001,0.0200000000000006)); #41451=CARTESIAN_POINT('',(9.04598809,3.01981371000001,5.55111512312578E-16)); #41452=CARTESIAN_POINT('Origin',(9.34750016407825,2.93522443791658,0.0200000000000006)); #41453=CARTESIAN_POINT('Origin',(9.04825019,3.02999271,5.55111512312578E-16)); #41454=CARTESIAN_POINT('',(9.04825019,3.02999271,5.55111512312578E-16)); #41455=CARTESIAN_POINT('',(8.51449664128762,0.628207920492308,-3.08148791101958E-32)); #41456=CARTESIAN_POINT('',(9.04825019,3.02999271,0.0200000000000006)); #41457=CARTESIAN_POINT('',(9.04825019,3.02999271,5.55111512312578E-16)); #41458=CARTESIAN_POINT('',(9.04825019,3.02999271,0.0200000000000006)); #41459=CARTESIAN_POINT('Origin',(9.05207829,3.03860871,5.55111512312578E-16)); #41460=CARTESIAN_POINT('',(9.05207829,3.03860871,5.55111512312578E-16)); #41461=CARTESIAN_POINT('',(7.74217286972937,0.0903719604263797,3.08148791101958E-32)); #41462=CARTESIAN_POINT('',(9.05207829,3.03860871,0.0200000000000006)); #41463=CARTESIAN_POINT('',(9.05207829,3.03860871,5.55111512312578E-16)); #41464=CARTESIAN_POINT('',(9.05207829,3.03860871,0.0200000000000006)); #41465=CARTESIAN_POINT('Origin',(9.05747319,3.04566071,5.55111512312578E-16)); #41466=CARTESIAN_POINT('',(9.05747319,3.04566071,5.55111512312578E-16)); #41467=CARTESIAN_POINT('',(6.65063740756937,-0.100459873831761,0.)); #41468=CARTESIAN_POINT('',(9.05747319,3.04566071,0.0200000000000006)); #41469=CARTESIAN_POINT('',(9.05747319,3.04566071,5.55111512312578E-16)); #41470=CARTESIAN_POINT('',(9.05747319,3.04566071,0.0200000000000006)); #41471=CARTESIAN_POINT('Origin',(9.06443409,3.05114871,5.55111512312578E-16)); #41472=CARTESIAN_POINT('',(9.06443409,3.05114871,5.55111512312578E-16)); #41473=CARTESIAN_POINT('',(5.5277700224925,0.262829325657502,-3.08148791101958E-32)); #41474=CARTESIAN_POINT('',(9.06443409,3.05114871,0.0200000000000006)); #41475=CARTESIAN_POINT('',(9.06443409,3.05114871,5.55111512312578E-16)); #41476=CARTESIAN_POINT('',(9.06443409,3.05114871,0.0200000000000006)); #41477=CARTESIAN_POINT('Origin',(9.07295799,3.05506871,5.55111512312578E-16)); #41478=CARTESIAN_POINT('',(9.07295799,3.05506871,5.55111512312578E-16)); #41479=CARTESIAN_POINT('',(4.74856830075812,1.06635373424686,-3.08148791101958E-32)); #41480=CARTESIAN_POINT('',(9.07295799,3.05506871,0.0200000000000006)); #41481=CARTESIAN_POINT('',(9.07295799,3.05506871,5.55111512312578E-16)); #41482=CARTESIAN_POINT('',(9.07295799,3.05506871,0.0200000000000006)); #41483=CARTESIAN_POINT('Origin',(9.08304409,3.05742271,5.55111512312578E-16)); #41484=CARTESIAN_POINT('',(9.08304409,3.05742271,5.55111512312578E-16)); #41485=CARTESIAN_POINT('',(4.43776872005714,1.97325953286973,0.)); #41486=CARTESIAN_POINT('',(9.08304409,3.05742271,0.0200000000000006)); #41487=CARTESIAN_POINT('',(9.08304409,3.05742271,5.55111512312578E-16)); #41488=CARTESIAN_POINT('',(9.08304409,3.05742271,0.0200000000000006)); #41489=CARTESIAN_POINT('Origin',(9.09469319,3.05820771,5.55111512312578E-16)); #41490=CARTESIAN_POINT('',(9.09469319,3.05820771,5.55111512312578E-16)); #41491=CARTESIAN_POINT('',(4.46532669967412,2.74624775644819,0.)); #41492=CARTESIAN_POINT('',(9.09469319,3.05820771,0.0200000000000006)); #41493=CARTESIAN_POINT('',(9.09469319,3.05820771,5.55111512312578E-16)); #41494=CARTESIAN_POINT('',(9.09469319,3.05820771,0.0200000000000006)); #41495=CARTESIAN_POINT('Origin',(9.15904519,3.05820771,5.55111512312578E-16)); #41496=CARTESIAN_POINT('',(9.15904519,3.05820771,5.55111512312578E-16)); #41497=CARTESIAN_POINT('',(4.579522595,3.05820771,0.)); #41498=CARTESIAN_POINT('',(9.15904519,3.05820771,0.0200000000000006)); #41499=CARTESIAN_POINT('',(9.15904519,3.05820771,5.55111512312578E-16)); #41500=CARTESIAN_POINT('',(9.15904519,3.05820771,0.0200000000000006)); #41501=CARTESIAN_POINT('Origin',(10.57284449,3.05819671000001,5.55111512312578E-16)); #41502=CARTESIAN_POINT('',(10.57284449,3.05819671000001,5.55111512312578E-16)); #41503=CARTESIAN_POINT('',(5.28643414239897,3.05823784067097,-6.16297582203915E-32)); #41504=CARTESIAN_POINT('',(10.57284449,3.05819671000001,0.0200000000000006)); #41505=CARTESIAN_POINT('',(10.57284449,3.05819671000001,5.55111512312578E-16)); #41506=CARTESIAN_POINT('',(10.57284449,3.05819671000001,0.0200000000000006)); #41507=CARTESIAN_POINT('Origin',(10.58430959,3.05722771000001,5.55111512312578E-16)); #41508=CARTESIAN_POINT('',(10.58430959,3.05722771000001,5.55111512312578E-16)); #41509=CARTESIAN_POINT('',(5.45796761052901,3.49049260765503,0.)); #41510=CARTESIAN_POINT('',(10.58430959,3.05722771000001,0.0200000000000006)); #41511=CARTESIAN_POINT('',(10.58430959,3.05722771000001,5.55111512312578E-16)); #41512=CARTESIAN_POINT('',(10.58430959,3.05722771000001,0.0200000000000006)); #41513=CARTESIAN_POINT('Origin',(10.59421249,3.05468971000001,5.55111512312578E-16)); #41514=CARTESIAN_POINT('',(10.59421249,3.05468971000001,5.55111512312578E-16)); #41515=CARTESIAN_POINT('',(5.99090979896069,4.23446353684398,-6.16297582203915E-32)); #41516=CARTESIAN_POINT('',(10.59421249,3.05468971000001,0.0200000000000006)); #41517=CARTESIAN_POINT('',(10.59421249,3.05468971000001,5.55111512312578E-16)); #41518=CARTESIAN_POINT('',(10.59421249,3.05468971000001,0.0200000000000006)); #41519=CARTESIAN_POINT('Origin',(10.60255329,3.05058371000001,5.55111512312578E-16)); #41520=CARTESIAN_POINT('',(10.60255329,3.05058371000001,5.55111512312578E-16)); #41521=CARTESIAN_POINT('',(6.93977740463202,4.85369106005216,0.)); #41522=CARTESIAN_POINT('',(10.60255329,3.05058371000001,0.0200000000000006)); #41523=CARTESIAN_POINT('',(10.60255329,3.05058371000001,5.55111512312578E-16)); #41524=CARTESIAN_POINT('',(10.60255329,3.05058371000001,0.0200000000000006)); #41525=CARTESIAN_POINT('Origin',(10.60932929,3.04491271000001,5.55111512312578E-16)); #41526=CARTESIAN_POINT('',(10.60932929,3.04491271000001,5.55111512312578E-16)); #41527=CARTESIAN_POINT('',(8.23907350436038,5.02863770414995,-6.16297582203915E-32)); #41528=CARTESIAN_POINT('',(10.60932929,3.04491271000001,0.0200000000000006)); #41529=CARTESIAN_POINT('',(10.60932929,3.04491271000001,5.55111512312578E-16)); #41530=CARTESIAN_POINT('',(10.60932929,3.04491271000001,0.0200000000000006)); #41531=CARTESIAN_POINT('Origin',(10.61454029,3.03767771,5.55111512312578E-16)); #41532=CARTESIAN_POINT('',(10.61454029,3.03767771,5.55111512312578E-16)); #41533=CARTESIAN_POINT('',(9.52203684461971,4.55451947306516,0.)); #41534=CARTESIAN_POINT('',(10.61454029,3.03767771,0.0200000000000006)); #41535=CARTESIAN_POINT('',(10.61454029,3.03767771,5.55111512312578E-16)); #41536=CARTESIAN_POINT('',(10.61454029,3.03767771,0.0200000000000006)); #41537=CARTESIAN_POINT('Origin',(10.3140933471897,2.93969715410201,5.55111512312578E-16)); #41538=CARTESIAN_POINT('',(10.61959529,2.85884471000001,5.55111512312578E-16)); #41539=CARTESIAN_POINT('Origin',(10.3140933471897,2.93969715410201,1.65735754128197E-17)); #41540=CARTESIAN_POINT('',(10.61959529,2.85884471000001,0.0200000000000006)); #41541=CARTESIAN_POINT('',(10.61959529,2.85884471000001,5.55111512312578E-16)); #41542=CARTESIAN_POINT('Origin',(10.3140933471897,2.93969715410201,0.0200000000000006)); #41543=CARTESIAN_POINT('Origin',(10.61687329,2.84912571000001,5.55111512312578E-16)); #41544=CARTESIAN_POINT('',(10.61687329,2.84912571000001,5.55111512312578E-16)); #41545=CARTESIAN_POINT('',(9.86081144632864,0.149579398963585,0.)); #41546=CARTESIAN_POINT('',(10.61687329,2.84912571000001,0.0200000000000006)); #41547=CARTESIAN_POINT('',(10.61687329,2.84912571000001,5.55111512312578E-16)); #41548=CARTESIAN_POINT('',(10.61687329,2.84912571000001,0.0200000000000006)); #41549=CARTESIAN_POINT('Origin',(10.61258429,2.84096971000001,5.55111512312578E-16)); #41550=CARTESIAN_POINT('',(10.61258429,2.84096971000001,5.55111512312578E-16)); #41551=CARTESIAN_POINT('',(8.87790111275885,-0.457719027137498,0.)); #41552=CARTESIAN_POINT('',(10.61258429,2.84096971000001,0.0200000000000006)); #41553=CARTESIAN_POINT('',(10.61258429,2.84096971000001,5.55111512312578E-16)); #41554=CARTESIAN_POINT('',(10.61258429,2.84096971000001,0.0200000000000006)); #41555=CARTESIAN_POINT('Origin',(10.60672829,2.83437771,5.55111512312578E-16)); #41556=CARTESIAN_POINT('',(10.60672829,2.83437771,5.55111512312578E-16)); #41557=CARTESIAN_POINT('',(7.5638629978345,-0.590924203585696,-3.08148791101958E-32)); #41558=CARTESIAN_POINT('',(10.60672829,2.83437771,0.0200000000000006)); #41559=CARTESIAN_POINT('',(10.60672829,2.83437771,5.55111512312578E-16)); #41560=CARTESIAN_POINT('',(10.60672829,2.83437771,0.0200000000000006)); #41561=CARTESIAN_POINT('Origin',(10.59930799,2.82935071000001,5.55111512312578E-16)); #41562=CARTESIAN_POINT('',(10.59930799,2.82935071000001,5.55111512312578E-16)); #41563=CARTESIAN_POINT('',(6.30991812272043,-0.0765645310012586,0.)); #41564=CARTESIAN_POINT('',(10.59930799,2.82935071000001,0.0200000000000006)); #41565=CARTESIAN_POINT('',(10.59930799,2.82935071000001,5.55111512312578E-16)); #41566=CARTESIAN_POINT('',(10.59930799,2.82935071000001,0.0200000000000006)); #41567=CARTESIAN_POINT('Origin',(10.59032439,2.82589071000001,5.55111512312578E-16)); #41568=CARTESIAN_POINT('',(10.59032439,2.82589071000001,5.55111512312578E-16)); #41569=CARTESIAN_POINT('',(5.50527571945751,0.867403199417066,0.)); #41570=CARTESIAN_POINT('',(10.59032439,2.82589071000001,0.0200000000000006)); #41571=CARTESIAN_POINT('',(10.59032439,2.82589071000001,5.55111512312578E-16)); #41572=CARTESIAN_POINT('',(10.59032439,2.82589071000001,0.0200000000000006)); #41573=CARTESIAN_POINT('Origin',(10.57977769,2.82399871000001,5.55111512312578E-16)); #41574=CARTESIAN_POINT('',(10.57977769,2.82399871000001,5.55111512312578E-16)); #41575=CARTESIAN_POINT('',(5.20941407011672,1.8605951838911,0.)); #41576=CARTESIAN_POINT('',(10.57977769,2.82399871000001,0.0200000000000006)); #41577=CARTESIAN_POINT('',(10.57977769,2.82399871000001,5.55111512312578E-16)); #41578=CARTESIAN_POINT('',(10.57977769,2.82399871000001,0.0200000000000006)); #41579=CARTESIAN_POINT('Origin',(10.56693359,2.82360671000001,5.55111512312578E-16)); #41580=CARTESIAN_POINT('',(10.56693359,2.82360671000001,5.55111512312578E-16)); #41581=CARTESIAN_POINT('',(5.24533563108641,2.66119234076377,0.)); #41582=CARTESIAN_POINT('',(10.56693359,2.82360671000001,0.0200000000000006)); #41583=CARTESIAN_POINT('',(10.56693359,2.82360671000001,5.55111512312578E-16)); #41584=CARTESIAN_POINT('',(10.56693359,2.82360671000001,0.0200000000000006)); #41585=CARTESIAN_POINT('Origin',(9.46132179,2.82360771,5.55111512312578E-16)); #41586=CARTESIAN_POINT('',(9.46132179,2.82360771,5.55111512312578E-16)); #41587=CARTESIAN_POINT('',(4.73066217194742,2.82361198877092,0.)); #41588=CARTESIAN_POINT('',(9.46132179,2.82360771,0.0200000000000006)); #41589=CARTESIAN_POINT('',(9.46132179,2.82360771,5.55111512312578E-16)); #41590=CARTESIAN_POINT('',(9.46132179,2.82360771,0.0200000000000006)); #41591=CARTESIAN_POINT('Origin',(9.46054269,2.82339371,5.55111512312578E-16)); #41592=CARTESIAN_POINT('',(9.46054269,2.82339371,5.55111512312578E-16)); #41593=CARTESIAN_POINT('',(4.70156232826635,1.51621645750978,0.)); #41594=CARTESIAN_POINT('',(9.46054269,2.82339371,0.0200000000000006)); #41595=CARTESIAN_POINT('',(9.46054269,2.82339371,5.55111512312578E-16)); #41596=CARTESIAN_POINT('',(9.46054269,2.82339371,0.0200000000000006)); #41597=CARTESIAN_POINT('Origin',(9.60788439,2.73331871,5.55111512312578E-16)); #41598=CARTESIAN_POINT('',(9.60788439,2.73331871,5.55111512312578E-16)); #41599=CARTESIAN_POINT('',(6.7190643897612,4.4993527758586,0.)); #41600=CARTESIAN_POINT('',(9.60788439,2.73331871,0.0200000000000006)); #41601=CARTESIAN_POINT('',(9.60788439,2.73331871,5.55111512312578E-16)); #41602=CARTESIAN_POINT('',(9.60788439,2.73331871,0.0200000000000006)); #41603=CARTESIAN_POINT('Origin',(10.17034049,2.38960371000001,5.55111512312578E-16)); #41604=CARTESIAN_POINT('',(10.17034049,2.38960371000001,5.55111512312578E-16)); #41605=CARTESIAN_POINT('',(6.99944552181771,4.32732678561206,0.)); #41606=CARTESIAN_POINT('',(10.17034049,2.38960371000001,0.0200000000000006)); #41607=CARTESIAN_POINT('',(10.17034049,2.38960371000001,5.55111512312578E-16)); #41608=CARTESIAN_POINT('',(10.17034049,2.38960371000001,0.0200000000000006)); #41609=CARTESIAN_POINT('Origin',(5.83525933317379,-4.87088114289722,5.55111512312578E-16)); #41610=CARTESIAN_POINT('',(10.29501059,2.31369871000001,5.55111512312578E-16)); #41611=CARTESIAN_POINT('Origin',(5.83525933317379,-4.87088114289722,-2.74613035686487E-17)); #41612=CARTESIAN_POINT('',(10.29501059,2.31369871000001,0.0200000000000006)); #41613=CARTESIAN_POINT('',(10.29501059,2.31369871000001,5.55111512312578E-16)); #41614=CARTESIAN_POINT('Origin',(5.83525933317379,-4.87088114289722,0.0200000000000006)); #41615=CARTESIAN_POINT('Origin',(10.101092392062,1.82450618639953,5.55111512312578E-16)); #41616=CARTESIAN_POINT('',(10.62448029,1.76992871000001,5.55111512312578E-16)); #41617=CARTESIAN_POINT('Origin',(10.101092392062,1.82450618639953,1.02862945692396E-17)); #41618=CARTESIAN_POINT('',(10.62448029,1.76992871000001,0.0200000000000006)); #41619=CARTESIAN_POINT('',(10.62448029,1.76992871000001,5.55111512312578E-16)); #41620=CARTESIAN_POINT('Origin',(10.101092392062,1.82450618639953,0.0200000000000006)); #41621=CARTESIAN_POINT('Origin',(10.2226173617156,1.73678506878201,5.55111512312578E-16)); #41622=CARTESIAN_POINT('',(10.29526899,1.34015671000001,5.55111512312578E-16)); #41623=CARTESIAN_POINT('Origin',(10.2226173617156,1.73678506878201,9.79173595251196E-18)); #41624=CARTESIAN_POINT('',(10.29526899,1.34015671000001,0.0200000000000006)); #41625=CARTESIAN_POINT('',(10.29526899,1.34015671000001,5.55111512312578E-16)); #41626=CARTESIAN_POINT('Origin',(10.2226173617156,1.73678506878201,0.0200000000000006)); #41627=CARTESIAN_POINT('Origin',(9.8827107507179,3.62112950962641,5.55111512312578E-16)); #41628=CARTESIAN_POINT('',(9.65051179,1.31480671,5.55111512312578E-16)); #41629=CARTESIAN_POINT('Origin',(9.8827107507179,3.62112950962641,2.04153897021793E-17)); #41630=CARTESIAN_POINT('',(9.65051179,1.31480671,0.0200000000000006)); #41631=CARTESIAN_POINT('',(9.65051179,1.31480671,5.55111512312578E-16)); #41632=CARTESIAN_POINT('Origin',(9.8827107507179,3.62112950962641,0.0200000000000006)); #41633=CARTESIAN_POINT('Origin',(9.5923283570434,2.07602969763637,5.55111512312578E-16)); #41634=CARTESIAN_POINT('',(9.24460219,1.39637371000001,5.55111512312578E-16)); #41635=CARTESIAN_POINT('Origin',(9.5923283570434,2.07602969763637,1.17043467232732E-17)); #41636=CARTESIAN_POINT('',(9.24460219,1.39637371000001,0.0200000000000006)); #41637=CARTESIAN_POINT('',(9.24460219,1.39637371000001,5.55111512312578E-16)); #41638=CARTESIAN_POINT('Origin',(9.5923283570434,2.07602969763637,0.0200000000000006)); #41639=CARTESIAN_POINT('Origin',(9.63641069510695,1.85113787133517,5.55111512312578E-16)); #41640=CARTESIAN_POINT('',(9.04627419,1.96097071000001,5.55111512312578E-16)); #41641=CARTESIAN_POINT('Origin',(9.63641069510695,1.85113787133517,1.04364400486933E-17)); #41642=CARTESIAN_POINT('',(9.04627419,1.96097071000001,0.0200000000000006)); #41643=CARTESIAN_POINT('',(9.04627419,1.96097071000001,5.55111512312578E-16)); #41644=CARTESIAN_POINT('Origin',(9.63641069510695,1.85113787133517,0.0200000000000006)); #41645=CARTESIAN_POINT('Origin',(9.04881099,1.96791471,5.55111512312578E-16)); #41646=CARTESIAN_POINT('',(9.04881099,1.96791471,5.55111512312578E-16)); #41647=CARTESIAN_POINT('',(8.19894318883804,-0.358434237991627,0.)); #41648=CARTESIAN_POINT('',(9.04881099,1.96791471,0.0200000000000006)); #41649=CARTESIAN_POINT('',(9.04881099,1.96791471,5.55111512312578E-16)); #41650=CARTESIAN_POINT('',(9.04881099,1.96791471,0.0200000000000006)); #41651=CARTESIAN_POINT('Origin',(9.05291649,1.97375571,5.55111512312578E-16)); #41652=CARTESIAN_POINT('',(7.09186355286811,-0.816284530114107,-3.08148791101958E-32)); #41653=CARTESIAN_POINT('',(9.05291649,1.97375571,0.0200000000000006)); #41654=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #41655=CARTESIAN_POINT('Origin',(4.05871229,9.74067711,2.77555756156289E-16)); #41656=CARTESIAN_POINT('',(4.05871229,9.74067711,2.77555756156289E-16)); #41657=CARTESIAN_POINT('',(4.05866389,9.74140761000001,2.77555756156289E-16)); #41658=CARTESIAN_POINT('',(4.37112137561606,5.025494526474,-3.08148791101958E-32)); #41659=CARTESIAN_POINT('',(4.05871229,9.74067711,0.0200000000000003)); #41660=CARTESIAN_POINT('',(4.05871229,9.74067711,2.77555756156289E-16)); #41661=CARTESIAN_POINT('',(4.05866389,9.74140761000001,0.0200000000000003)); #41662=CARTESIAN_POINT('',(4.05866389,9.74140761000001,0.0200000000000003)); #41663=CARTESIAN_POINT('',(4.05866389,9.74140761000001,2.77555756156289E-16)); #41664=CARTESIAN_POINT('Origin',(4.05783369,9.73781651000001,2.77555756156289E-16)); #41665=CARTESIAN_POINT('',(4.05783369,9.73781651000001,2.77555756156289E-16)); #41666=CARTESIAN_POINT('',(2.51641732363405,4.71917815623448,0.)); #41667=CARTESIAN_POINT('',(4.05783369,9.73781651000001,0.0200000000000003)); #41668=CARTESIAN_POINT('',(4.05783369,9.73781651000001,2.77555756156289E-16)); #41669=CARTESIAN_POINT('',(4.05871229,9.74067711,0.0200000000000003)); #41670=CARTESIAN_POINT('Origin',(4.05658339,9.73449681,2.77555756156289E-16)); #41671=CARTESIAN_POINT('',(4.05658339,9.73449681,2.77555756156289E-16)); #41672=CARTESIAN_POINT('',(2.19918788192363,4.8028837026141,0.)); #41673=CARTESIAN_POINT('',(4.05658339,9.73449681,0.0200000000000003)); #41674=CARTESIAN_POINT('',(4.05658339,9.73449681,2.77555756156289E-16)); #41675=CARTESIAN_POINT('',(4.05783369,9.73781651000001,0.0200000000000003)); #41676=CARTESIAN_POINT('Origin',(1.40417039409529,11.1718962629121,2.77555756156289E-16)); #41677=CARTESIAN_POINT('',(3.76450709,9.29300761000001,2.77555756156289E-16)); #41678=CARTESIAN_POINT('Origin',(1.40417039409529,11.1718962629121,6.29854898349664E-17)); #41679=CARTESIAN_POINT('',(3.76450709,9.29300761000001,0.0200000000000003)); #41680=CARTESIAN_POINT('',(3.76450709,9.29300761000001,2.77555756156289E-16)); #41681=CARTESIAN_POINT('Origin',(1.40417039409529,11.1718962629121,0.0200000000000003)); #41682=CARTESIAN_POINT('Origin',(3.76249149,9.29793231,2.77555756156289E-16)); #41683=CARTESIAN_POINT('',(3.76249149,9.29793231000001,2.77555756156289E-16)); #41684=CARTESIAN_POINT('',(5.12231592186244,5.9754837192153,0.)); #41685=CARTESIAN_POINT('',(3.76249149,9.29793231000001,0.0200000000000003)); #41686=CARTESIAN_POINT('',(3.76249149,9.29793231000001,2.77555756156289E-16)); #41687=CARTESIAN_POINT('',(3.76450709,9.29300761000001,0.0200000000000003)); #41688=CARTESIAN_POINT('Origin',(-26.8189805704524,-10.1779568672324,2.77555756156289E-16)); #41689=CARTESIAN_POINT('',(3.48776128999995,9.72274891000008,2.77555756156289E-16)); #41690=CARTESIAN_POINT('Origin',(-26.8189805704524,-10.1779568672324,-5.73818073239691E-17)); #41691=CARTESIAN_POINT('',(3.48776128999995,9.72274891000008,0.0200000000000003)); #41692=CARTESIAN_POINT('',(3.48776128999995,9.72274891000008,2.77555756156289E-16)); #41693=CARTESIAN_POINT('Origin',(-26.8189805704524,-10.1779568672324,0.0200000000000003)); #41694=CARTESIAN_POINT('Origin',(3.48621699,9.72709631,2.77555756156289E-16)); #41695=CARTESIAN_POINT('',(3.48621699,9.72709631,2.77555756156289E-16)); #41696=CARTESIAN_POINT('',(4.82498210002872,5.95830304487773,0.)); #41697=CARTESIAN_POINT('',(3.48621699,9.72709631,0.0200000000000003)); #41698=CARTESIAN_POINT('',(3.48621699,9.72709631,2.77555756156289E-16)); #41699=CARTESIAN_POINT('',(3.48776129,9.72274891000001,0.0200000000000003)); #41700=CARTESIAN_POINT('Origin',(3.48495389,9.73163961000001,2.77555756156289E-16)); #41701=CARTESIAN_POINT('',(3.48495389,9.73163961000001,2.77555756156289E-16)); #41702=CARTESIAN_POINT('',(4.61564677127788,5.66460060476431,0.)); #41703=CARTESIAN_POINT('',(3.48495389,9.73163961000001,0.0200000000000003)); #41704=CARTESIAN_POINT('',(3.48495389,9.73163961000001,2.77555756156289E-16)); #41705=CARTESIAN_POINT('',(3.48621699,9.72709631,0.0200000000000003)); #41706=CARTESIAN_POINT('Origin',(3.48400239,9.73640271,2.77555756156289E-16)); #41707=CARTESIAN_POINT('',(3.48400239,9.73640271,2.77555756156289E-16)); #41708=CARTESIAN_POINT('',(4.35233016246193,5.389653353182,0.)); #41709=CARTESIAN_POINT('',(3.48400239,9.73640271,0.0200000000000003)); #41710=CARTESIAN_POINT('',(3.48400239,9.73640271,2.77555756156289E-16)); #41711=CARTESIAN_POINT('',(3.48495389,9.73163961000001,0.0200000000000003)); #41712=CARTESIAN_POINT('Origin',(3.48339319,9.74140761000001,2.77555756156289E-16)); #41713=CARTESIAN_POINT('',(3.48339319,9.74140761000001,2.77555756156289E-16)); #41714=CARTESIAN_POINT('',(4.04217500140156,5.15071967848014,-3.08148791101958E-32)); #41715=CARTESIAN_POINT('',(3.48339319,9.74140761000001,0.0200000000000003)); #41716=CARTESIAN_POINT('',(3.48339319,9.74140761000001,2.77555756156289E-16)); #41717=CARTESIAN_POINT('',(3.48400239,9.73640271,0.0200000000000003)); #41718=CARTESIAN_POINT('Origin',(4.05866389,9.74140761000001,2.77555756156289E-16)); #41719=CARTESIAN_POINT('',(2.029331945,9.74140761000001,0.)); #41720=CARTESIAN_POINT('',(3.48339319,9.74140761000001,0.0200000000000003)); #41721=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #41722=CARTESIAN_POINT('Origin',(11.9046051537128,9.12233846588487,2.77555756156289E-16)); #41723=CARTESIAN_POINT('',(12.12719329,9.15392611000001,2.77555756156289E-16)); #41724=CARTESIAN_POINT('',(11.98890129,8.91392201000001,2.77555756156289E-16)); #41725=CARTESIAN_POINT('Origin',(11.9046051537128,9.12233846588487,5.14303877508744E-17)); #41726=CARTESIAN_POINT('',(12.12719329,9.15392611000001,0.0200000000000003)); #41727=CARTESIAN_POINT('',(12.12719329,9.15392611000001,2.77555756156289E-16)); #41728=CARTESIAN_POINT('',(11.98890129,8.91392201000001,0.0200000000000003)); #41729=CARTESIAN_POINT('Origin',(11.9046051537128,9.12233846588487,0.0200000000000003)); #41730=CARTESIAN_POINT('',(11.98890129,8.91392201000001,2.77555756156289E-16)); #41731=CARTESIAN_POINT('Origin',(9.5981217696023,9.42480735872049,2.77555756156289E-16)); #41732=CARTESIAN_POINT('',(12.11178929,9.81346531000001,2.77555756156289E-16)); #41733=CARTESIAN_POINT('Origin',(9.5981217696023,9.42480735872049,5.31356623906271E-17)); #41734=CARTESIAN_POINT('',(12.11178929,9.81346531000001,0.0200000000000003)); #41735=CARTESIAN_POINT('',(12.11178929,9.81346531000001,2.77555756156289E-16)); #41736=CARTESIAN_POINT('Origin',(9.5981217696023,9.42480735872049,0.0200000000000003)); #41737=CARTESIAN_POINT('Origin',(11.9193868703194,9.76349458186601,2.77555756156289E-16)); #41738=CARTESIAN_POINT('',(11.90431629,9.96170821000001,2.77555756156289E-16)); #41739=CARTESIAN_POINT('Origin',(11.9193868703194,9.76349458186601,5.50451305908898E-17)); #41740=CARTESIAN_POINT('',(11.90431629,9.96170821000001,0.0200000000000003)); #41741=CARTESIAN_POINT('',(11.90431629,9.96170821000001,2.77555756156289E-16)); #41742=CARTESIAN_POINT('Origin',(11.9193868703194,9.76349458186601,0.0200000000000003)); #41743=CARTESIAN_POINT('Origin',(11.5872262178727,5.98317770512882,5.55111512312578E-16)); #41744=CARTESIAN_POINT('',(11.24151129,9.95932311000001,2.77555756156289E-16)); #41745=CARTESIAN_POINT('Origin',(11.5872262178727,5.98317770512882,3.3732266184591E-17)); #41746=CARTESIAN_POINT('',(11.24151129,9.95932311000001,0.0200000000000003)); #41747=CARTESIAN_POINT('',(11.24151129,9.95932311000001,2.77555756156289E-16)); #41748=CARTESIAN_POINT('Origin',(11.5872262178727,5.98317770512882,0.0200000000000006)); #41749=CARTESIAN_POINT('Origin',(11.2789498742601,9.72324794699803,2.77555756156289E-16)); #41750=CARTESIAN_POINT('',(11.04027129,9.71037691000001,2.77555756156289E-16)); #41751=CARTESIAN_POINT('Origin',(11.2789498742601,9.72324794699803,5.48182260483025E-17)); #41752=CARTESIAN_POINT('',(11.04027129,9.71037691000001,0.0200000000000003)); #41753=CARTESIAN_POINT('',(11.04027129,9.71037691000001,2.77555756156289E-16)); #41754=CARTESIAN_POINT('Origin',(11.2789498742601,9.72324794699803,0.0200000000000003)); #41755=CARTESIAN_POINT('Origin',(11.6407676740265,9.66159460041316,2.77555756156289E-16)); #41756=CARTESIAN_POINT('',(11.03829329,9.66110721000001,2.77555756156289E-16)); #41757=CARTESIAN_POINT('Origin',(11.6407676740265,9.66159460041316,5.44706336482993E-17)); #41758=CARTESIAN_POINT('',(11.03829329,9.66110721000001,0.0200000000000003)); #41759=CARTESIAN_POINT('',(11.03829329,9.66110721000001,2.77555756156289E-16)); #41760=CARTESIAN_POINT('Origin',(11.6407676740265,9.66159460041316,0.0200000000000003)); #41761=CARTESIAN_POINT('Origin',(11.03829329,9.26182191000001,2.77555756156289E-16)); #41762=CARTESIAN_POINT('',(11.03829329,9.26182191000001,2.77555756156289E-16)); #41763=CARTESIAN_POINT('',(11.03829329,4.630910955,0.)); #41764=CARTESIAN_POINT('',(11.03829329,9.26182191000001,0.0200000000000003)); #41765=CARTESIAN_POINT('',(11.03829329,9.26182191000001,2.77555756156289E-16)); #41766=CARTESIAN_POINT('',(11.03829329,9.66110721000001,0.0200000000000003)); #41767=CARTESIAN_POINT('Origin',(11.3214574308318,9.17734482557244,2.77555756156289E-16)); #41768=CARTESIAN_POINT('',(11.17224729,8.92228671,2.77555756156289E-16)); #41769=CARTESIAN_POINT('Origin',(11.3214574308318,9.17734482557244,5.17405054271781E-17)); #41770=CARTESIAN_POINT('',(11.17224729,8.92228671,0.0200000000000003)); #41771=CARTESIAN_POINT('',(11.17224729,8.92228671,2.77555756156289E-16)); #41772=CARTESIAN_POINT('Origin',(11.3214574308318,9.17734482557244,0.0200000000000003)); #41773=CARTESIAN_POINT('Origin',(11.3708463156225,9.52378175987961,2.77555756156289E-16)); #41774=CARTESIAN_POINT('',(11.40914629,8.89150731000001,2.77555756156289E-16)); #41775=CARTESIAN_POINT('Origin',(11.3708463156225,9.52378175987961,5.36936653465643E-17)); #41776=CARTESIAN_POINT('',(11.40914629,8.89150731000001,0.0200000000000003)); #41777=CARTESIAN_POINT('',(11.40914629,8.89150731000001,2.77555756156289E-16)); #41778=CARTESIAN_POINT('Origin',(11.3708463156225,9.52378175987961,0.0200000000000003)); #41779=CARTESIAN_POINT('Origin',(11.6274474545081,10.754032696069,2.77555756156289E-16)); #41780=CARTESIAN_POINT('Origin',(11.6274474545081,10.754032696069,6.06296371827024E-17)); #41781=CARTESIAN_POINT('Origin',(11.6274474545081,10.754032696069,0.0200000000000003)); #41782=CARTESIAN_POINT('Origin',(11.03045129,8.10980721,2.77555756156289E-16)); #41783=CARTESIAN_POINT('',(11.03045129,8.10980721,2.77555756156289E-16)); #41784=CARTESIAN_POINT('',(11.03475929,8.12130761000001,2.77555756156289E-16)); #41785=CARTESIAN_POINT('',(9.01974159038559,2.74212711959801,0.)); #41786=CARTESIAN_POINT('',(11.03045129,8.10980721,0.0200000000000003)); #41787=CARTESIAN_POINT('',(11.03045129,8.10980721,2.77555756156289E-16)); #41788=CARTESIAN_POINT('',(11.03475929,8.12130761000001,0.0200000000000003)); #41789=CARTESIAN_POINT('',(11.03475929,8.12130761000001,0.0200000000000003)); #41790=CARTESIAN_POINT('',(11.03475929,8.12130761000001,2.77555756156289E-16)); #41791=CARTESIAN_POINT('Origin',(11.02392629,8.10111541000001,2.77555756156289E-16)); #41792=CARTESIAN_POINT('',(11.02392629,8.10111541000001,2.77555756156289E-16)); #41793=CARTESIAN_POINT('',(7.09244353742245,2.86407912052262,0.)); #41794=CARTESIAN_POINT('',(11.02392629,8.10111541000001,0.0200000000000003)); #41795=CARTESIAN_POINT('',(11.02392629,8.10111541000001,2.77555756156289E-16)); #41796=CARTESIAN_POINT('',(11.03045129,8.10980721,0.0200000000000003)); #41797=CARTESIAN_POINT('Origin',(11.01475129,8.09486311000001,2.77555756156289E-16)); #41798=CARTESIAN_POINT('',(11.01475129,8.09486311000001,2.77555756156289E-16)); #41799=CARTESIAN_POINT('',(5.3703607248089,4.24849546632112,0.)); #41800=CARTESIAN_POINT('',(11.01475129,8.09486311000001,0.0200000000000003)); #41801=CARTESIAN_POINT('',(11.01475129,8.09486311000001,2.77555756156289E-16)); #41802=CARTESIAN_POINT('',(11.02392629,8.10111541000001,0.0200000000000003)); #41803=CARTESIAN_POINT('Origin',(11.00249029,8.09068221000001,2.77555756156289E-16)); #41804=CARTESIAN_POINT('',(11.00249029,8.09068221000001,2.77555756156289E-16)); #41805=CARTESIAN_POINT('',(4.83853357404379,5.98882374546017,0.)); #41806=CARTESIAN_POINT('',(11.00249029,8.09068221000001,0.0200000000000003)); #41807=CARTESIAN_POINT('',(11.00249029,8.09068221000001,2.77555756156289E-16)); #41808=CARTESIAN_POINT('',(11.01475129,8.09486311000001,0.0200000000000003)); #41809=CARTESIAN_POINT('Origin',(10.9027474140491,8.444563588596,2.77555756156289E-16)); #41810=CARTESIAN_POINT('',(10.78955929,8.09475061000001,2.77555756156289E-16)); #41811=CARTESIAN_POINT('Origin',(10.9027474140491,8.444563588596,4.76091937799284E-17)); #41812=CARTESIAN_POINT('',(10.78955929,8.09475061000001,0.0200000000000003)); #41813=CARTESIAN_POINT('',(10.78955929,8.09475061000001,2.77555756156289E-16)); #41814=CARTESIAN_POINT('Origin',(10.9027474140491,8.444563588596,0.0200000000000003)); #41815=CARTESIAN_POINT('Origin',(10.78597429,8.09711191,2.77555756156289E-16)); #41816=CARTESIAN_POINT('',(10.78597429,8.09711191,2.77555756156289E-16)); #41817=CARTESIAN_POINT('',(8.88452680004464,9.349521382251,0.)); #41818=CARTESIAN_POINT('',(10.78597429,8.09711191,0.0200000000000003)); #41819=CARTESIAN_POINT('',(10.78597429,8.09711191,2.77555756156289E-16)); #41820=CARTESIAN_POINT('',(10.78955929,8.09475061000001,0.0200000000000003)); #41821=CARTESIAN_POINT('Origin',(10.78276729,8.09985081000001,2.77555756156289E-16)); #41822=CARTESIAN_POINT('',(10.78276729,8.09985081000001,2.77555756156289E-16)); #41823=CARTESIAN_POINT('',(9.66526148378959,9.05424328041871,0.)); #41824=CARTESIAN_POINT('',(10.78276729,8.09985081000001,0.0200000000000003)); #41825=CARTESIAN_POINT('',(10.78276729,8.09985081000001,2.77555756156289E-16)); #41826=CARTESIAN_POINT('',(10.78597429,8.09711191,0.0200000000000003)); #41827=CARTESIAN_POINT('Origin',(10.77993729,8.10296931000001,2.77555756156289E-16)); #41828=CARTESIAN_POINT('',(10.77993729,8.10296931000001,2.77555756156289E-16)); #41829=CARTESIAN_POINT('',(10.3619856908003,8.56352834254577,0.)); #41830=CARTESIAN_POINT('',(10.77993729,8.10296931000001,0.0200000000000003)); #41831=CARTESIAN_POINT('',(10.77993729,8.10296931000001,2.77555756156289E-16)); #41832=CARTESIAN_POINT('',(10.78276729,8.09985081000001,0.0200000000000003)); #41833=CARTESIAN_POINT('Origin',(10.77748529,8.10646551000001,2.77555756156289E-16)); #41834=CARTESIAN_POINT('',(10.77748529,8.10646551000001,2.77555756156289E-16)); #41835=CARTESIAN_POINT('',(10.9062610303217,7.92284979086741,-3.08148791101958E-32)); #41836=CARTESIAN_POINT('',(10.77748529,8.10646551000001,0.0200000000000003)); #41837=CARTESIAN_POINT('',(10.77748529,8.10646551000001,2.77555756156289E-16)); #41838=CARTESIAN_POINT('',(10.77993729,8.10296931000001,0.0200000000000003)); #41839=CARTESIAN_POINT('Origin',(10.77540929,8.11034131000001,2.77555756156289E-16)); #41840=CARTESIAN_POINT('',(10.77540929,8.11034131000001,2.77555756156289E-16)); #41841=CARTESIAN_POINT('',(11.2621126249163,7.20168775245254,0.)); #41842=CARTESIAN_POINT('',(10.77540929,8.11034131000001,0.0200000000000003)); #41843=CARTESIAN_POINT('',(10.77540929,8.11034131000001,2.77555756156289E-16)); #41844=CARTESIAN_POINT('',(10.77748529,8.10646551000001,0.0200000000000003)); #41845=CARTESIAN_POINT('Origin',(10.77371229,8.11459651000001,2.77555756156289E-16)); #41846=CARTESIAN_POINT('',(10.77371229,8.11459651000001,2.77555756156289E-16)); #41847=CARTESIAN_POINT('',(11.4305589333904,6.46756419594408,0.)); #41848=CARTESIAN_POINT('',(10.77371229,8.11459651000001,0.0200000000000003)); #41849=CARTESIAN_POINT('',(10.77371229,8.11459651000001,2.77555756156289E-16)); #41850=CARTESIAN_POINT('',(10.77540929,8.11034131000001,0.0200000000000003)); #41851=CARTESIAN_POINT('Origin',(10.77239129,8.11922951000001,2.77555756156289E-16)); #41852=CARTESIAN_POINT('',(10.77239129,8.11922951000001,2.77555756156289E-16)); #41853=CARTESIAN_POINT('',(11.437909310748,5.78513035017369,3.08148791101958E-32)); #41854=CARTESIAN_POINT('',(10.77239129,8.11922951000001,0.0200000000000003)); #41855=CARTESIAN_POINT('',(10.77239129,8.11922951000001,2.77555756156289E-16)); #41856=CARTESIAN_POINT('',(10.77371229,8.11459651000001,0.0200000000000003)); #41857=CARTESIAN_POINT('Origin',(10.77144829,8.12424301,2.77555756156289E-16)); #41858=CARTESIAN_POINT('',(10.77144829,8.12424301,2.77555756156289E-16)); #41859=CARTESIAN_POINT('',(11.3253649456689,5.17932132050528,0.)); #41860=CARTESIAN_POINT('',(10.77144829,8.12424301,0.0200000000000003)); #41861=CARTESIAN_POINT('',(10.77144829,8.12424301,2.77555756156289E-16)); #41862=CARTESIAN_POINT('',(10.77239129,8.11922951000001,0.0200000000000003)); #41863=CARTESIAN_POINT('Origin',(10.77088129,8.12963601000001,2.77555756156289E-16)); #41864=CARTESIAN_POINT('',(10.77088129,8.12963601000001,2.77555756156289E-16)); #41865=CARTESIAN_POINT('',(11.1346910272565,4.66927284771313,0.)); #41866=CARTESIAN_POINT('',(10.77088129,8.12963601000001,0.0200000000000003)); #41867=CARTESIAN_POINT('',(10.77088129,8.12963601000001,2.77555756156289E-16)); #41868=CARTESIAN_POINT('',(10.77144829,8.12424301,0.0200000000000003)); #41869=CARTESIAN_POINT('Origin',(10.77069329,8.13540761000001,2.77555756156289E-16)); #41870=CARTESIAN_POINT('',(10.77069329,8.13540761000001,2.77555756156289E-16)); #41871=CARTESIAN_POINT('',(10.8973434507519,4.24724767489308,-3.08148791101958E-32)); #41872=CARTESIAN_POINT('',(10.77069329,8.13540761000001,0.0200000000000003)); #41873=CARTESIAN_POINT('',(10.77069329,8.13540761000001,2.77555756156289E-16)); #41874=CARTESIAN_POINT('',(10.77088129,8.12963601000001,0.0200000000000003)); #41875=CARTESIAN_POINT('Origin',(10.77076729,10.17297761,2.77555756156289E-16)); #41876=CARTESIAN_POINT('',(10.77076729,10.17297761,2.77555756156289E-16)); #41877=CARTESIAN_POINT('',(10.770582552963,5.08629322658101,1.54074395550979E-32)); #41878=CARTESIAN_POINT('',(10.77076729,10.17297761,0.0200000000000003)); #41879=CARTESIAN_POINT('',(10.77076729,10.17297761,2.77555756156289E-16)); #41880=CARTESIAN_POINT('',(10.77069329,8.13540761000001,0.0200000000000003)); #41881=CARTESIAN_POINT('Origin',(10.77249329,10.18513701,2.77555756156289E-16)); #41882=CARTESIAN_POINT('',(10.77249329,10.18513701,2.77555756156289E-16)); #41883=CARTESIAN_POINT('',(9.95750729193589,4.44368814276046,-1.54074395550979E-32)); #41884=CARTESIAN_POINT('',(10.77249329,10.18513701,0.0200000000000003)); #41885=CARTESIAN_POINT('',(10.77249329,10.18513701,2.77555756156289E-16)); #41886=CARTESIAN_POINT('',(10.77076729,10.17297761,0.0200000000000003)); #41887=CARTESIAN_POINT('Origin',(10.77639629,10.19531031,2.77555756156289E-16)); #41888=CARTESIAN_POINT('',(10.77639629,10.19531031,2.77555756156289E-16)); #41889=CARTESIAN_POINT('',(8.38027528793625,3.94974080185229,0.)); #41890=CARTESIAN_POINT('',(10.77639629,10.19531031,0.0200000000000003)); #41891=CARTESIAN_POINT('',(10.77639629,10.19531031,2.77555756156289E-16)); #41892=CARTESIAN_POINT('',(10.77249329,10.18513701,0.0200000000000003)); #41893=CARTESIAN_POINT('Origin',(10.78232329,10.20366501,2.77555756156289E-16)); #41894=CARTESIAN_POINT('',(10.78232329,10.20366501,2.77555756156289E-16)); #41895=CARTESIAN_POINT('',(6.56979529988092,4.26568498653984,-1.54074395550979E-32)); #41896=CARTESIAN_POINT('',(10.78232329,10.20366501,0.0200000000000003)); #41897=CARTESIAN_POINT('',(10.78232329,10.20366501,2.77555756156289E-16)); #41898=CARTESIAN_POINT('',(10.77639629,10.19531031,0.0200000000000003)); #41899=CARTESIAN_POINT('Origin',(10.79012029,10.21036981,2.77555756156289E-16)); #41900=CARTESIAN_POINT('',(10.79012029,10.21036981,2.77555756156289E-16)); #41901=CARTESIAN_POINT('',(5.16475666678617,5.37300441037456,-3.08148791101958E-32)); #41902=CARTESIAN_POINT('',(10.79012029,10.21036981,0.0200000000000003)); #41903=CARTESIAN_POINT('',(10.79012029,10.21036981,2.77555756156289E-16)); #41904=CARTESIAN_POINT('',(10.78232329,10.20366501,0.0200000000000003)); #41905=CARTESIAN_POINT('Origin',(10.79963429,10.21559261,2.77555756156289E-16)); #41906=CARTESIAN_POINT('',(10.79963429,10.21559261,2.77555756156289E-16)); #41907=CARTESIAN_POINT('',(4.49560432194776,6.75493592331106,0.)); #41908=CARTESIAN_POINT('',(10.79963429,10.21559261,0.0200000000000003)); #41909=CARTESIAN_POINT('',(10.79963429,10.21559261,2.77555756156289E-16)); #41910=CARTESIAN_POINT('',(10.79012029,10.21036981,0.0200000000000003)); #41911=CARTESIAN_POINT('Origin',(10.8890399212066,9.94413454378274,2.77555756156289E-16)); #41912=CARTESIAN_POINT('',(11.02865629,10.19351401,2.77555756156289E-16)); #41913=CARTESIAN_POINT('Origin',(10.8890399212066,9.94413454378274,5.60635518344585E-17)); #41914=CARTESIAN_POINT('',(11.02865629,10.19351401,0.0200000000000003)); #41915=CARTESIAN_POINT('',(11.02865629,10.19351401,2.77555756156289E-16)); #41916=CARTESIAN_POINT('Origin',(10.8890399212066,9.94413454378274,0.0200000000000003)); #41917=CARTESIAN_POINT('Origin',(11.03363329,10.18266701,2.77555756156289E-16)); #41918=CARTESIAN_POINT('',(11.03363329,10.18266701,2.77555756156289E-16)); #41919=CARTESIAN_POINT('',(12.003975323435,8.06787897771687,0.)); #41920=CARTESIAN_POINT('',(11.03363329,10.18266701,0.0200000000000003)); #41921=CARTESIAN_POINT('',(11.03363329,10.18266701,2.77555756156289E-16)); #41922=CARTESIAN_POINT('',(11.02865629,10.19351401,0.0200000000000003)); #41923=CARTESIAN_POINT('Origin',(10.5674168151658,10.0943699275901,2.77555756156289E-16)); #41924=CARTESIAN_POINT('',(11.03829329,10.03580781,2.77555756156289E-16)); #41925=CARTESIAN_POINT('Origin',(10.5674168151658,10.0943699275901,5.6910556587901E-17)); #41926=CARTESIAN_POINT('',(11.03829329,10.03580781,0.0200000000000003)); #41927=CARTESIAN_POINT('',(11.03829329,10.03580781,2.77555756156289E-16)); #41928=CARTESIAN_POINT('Origin',(10.5674168151658,10.0943699275901,0.0200000000000003)); #41929=CARTESIAN_POINT('Origin',(9.51060237101384,11.2670670473053,2.77555756156289E-16)); #41930=CARTESIAN_POINT('',(11.09037029,10.10337801,2.77555756156289E-16)); #41931=CARTESIAN_POINT('Origin',(9.51060237101384,11.2670670473053,6.35220485651866E-17)); #41932=CARTESIAN_POINT('',(11.09037029,10.10337801,0.0200000000000003)); #41933=CARTESIAN_POINT('',(11.09037029,10.10337801,2.77555756156289E-16)); #41934=CARTESIAN_POINT('Origin',(9.51060237101384,11.2670670473053,0.0200000000000003)); #41935=CARTESIAN_POINT('Origin',(11.10724629,10.12138771,2.77555756156289E-16)); #41936=CARTESIAN_POINT('',(11.10724629,10.12138771,2.77555756156289E-16)); #41937=CARTESIAN_POINT('',(5.98571548515691,4.6558014729787,-1.54074395550979E-32)); #41938=CARTESIAN_POINT('',(11.10724629,10.12138771,0.0200000000000003)); #41939=CARTESIAN_POINT('',(11.10724629,10.12138771,2.77555756156289E-16)); #41940=CARTESIAN_POINT('',(11.09037029,10.10337801,0.0200000000000003)); #41941=CARTESIAN_POINT('Origin',(11.452554256043,9.63175395797597,2.77555756156289E-16)); #41942=CARTESIAN_POINT('',(11.53916929,10.22460861,2.77555756156289E-16)); #41943=CARTESIAN_POINT('Origin',(11.452554256043,9.63175395797597,5.43023965436337E-17)); #41944=CARTESIAN_POINT('',(11.53916929,10.22460861,0.0200000000000003)); #41945=CARTESIAN_POINT('',(11.53916929,10.22460861,2.77555756156289E-16)); #41946=CARTESIAN_POINT('Origin',(11.452554256043,9.63175395797597,0.0200000000000003)); #41947=CARTESIAN_POINT('Origin',(11.6074581078388,2.01685456201127,5.55111512312578E-16)); #41948=CARTESIAN_POINT('',(11.76923229,10.22329831,2.77555756156289E-16)); #41949=CARTESIAN_POINT('Origin',(11.6074581078388,2.01685456201127,1.13707261081436E-17)); #41950=CARTESIAN_POINT('',(11.76923229,10.22329831,0.0200000000000003)); #41951=CARTESIAN_POINT('',(11.76923229,10.22329831,2.77555756156289E-16)); #41952=CARTESIAN_POINT('Origin',(11.6074581078388,2.01685456201127,0.0200000000000006)); #41953=CARTESIAN_POINT('Origin',(11.871810496688,9.67558763036272,2.77555756156289E-16)); #41954=CARTESIAN_POINT('',(12.33848029,9.98010131000001,2.77555756156289E-16)); #41955=CARTESIAN_POINT('Origin',(11.871810496688,9.67558763036272,5.45495242703483E-17)); #41956=CARTESIAN_POINT('',(12.33848029,9.98010131000001,0.0200000000000003)); #41957=CARTESIAN_POINT('',(12.33848029,9.98010131000001,2.77555756156289E-16)); #41958=CARTESIAN_POINT('Origin',(11.871810496688,9.67558763036272,0.0200000000000003)); #41959=CARTESIAN_POINT('Origin',(11.6281511380426,9.67733209948836,2.77555756156289E-16)); #41960=CARTESIAN_POINT('',(12.39723129,9.60839431,2.77555756156289E-16)); #41961=CARTESIAN_POINT('Origin',(11.6281511380426,9.67733209948836,5.45593593278708E-17)); #41962=CARTESIAN_POINT('',(12.39723129,9.60839431,0.0200000000000003)); #41963=CARTESIAN_POINT('',(12.39723129,9.60839431,2.77555756156289E-16)); #41964=CARTESIAN_POINT('Origin',(11.6281511380426,9.67733209948836,0.0200000000000003)); #41965=CARTESIAN_POINT('Origin',(10.6883917049628,9.38272393477231,2.77555756156289E-16)); #41966=CARTESIAN_POINT('',(12.33547329,8.87460771000001,2.77555756156289E-16)); #41967=CARTESIAN_POINT('Origin',(10.6883917049628,9.38272393477231,5.28984023043418E-17)); #41968=CARTESIAN_POINT('',(12.33547329,8.87460771000001,0.0200000000000003)); #41969=CARTESIAN_POINT('',(12.33547329,8.87460771000001,2.77555756156289E-16)); #41970=CARTESIAN_POINT('Origin',(10.6883917049628,9.38272393477231,0.0200000000000003)); #41971=CARTESIAN_POINT('Origin',(11.8804567510355,9.17551025102827,2.77555756156289E-16)); #41972=CARTESIAN_POINT('',(11.79260329,8.63711991000001,2.77555756156289E-16)); #41973=CARTESIAN_POINT('Origin',(11.8804567510355,9.17551025102827,5.17301623687049E-17)); #41974=CARTESIAN_POINT('',(11.79260329,8.63711991000001,0.0200000000000003)); #41975=CARTESIAN_POINT('',(11.79260329,8.63711991000001,2.77555756156289E-16)); #41976=CARTESIAN_POINT('Origin',(11.8804567510355,9.17551025102827,0.0200000000000003)); #41977=CARTESIAN_POINT('Origin',(11.5943793645355,9.9312531600272,2.77555756156289E-16)); #41978=CARTESIAN_POINT('',(11.19551829,8.68426341000001,2.77555756156289E-16)); #41979=CARTESIAN_POINT('Origin',(11.5943793645355,9.9312531600272,5.59909285083461E-17)); #41980=CARTESIAN_POINT('',(11.19551829,8.68426341000001,0.0200000000000003)); #41981=CARTESIAN_POINT('',(11.19551829,8.68426341000001,2.77555756156289E-16)); #41982=CARTESIAN_POINT('Origin',(11.5943793645355,9.9312531600272,0.0200000000000003)); #41983=CARTESIAN_POINT('Origin',(11.3248851119798,8.98743088065501,2.77555756156289E-16)); #41984=CARTESIAN_POINT('',(11.03829329,8.82460761,2.77555756156289E-16)); #41985=CARTESIAN_POINT('Origin',(11.3248851119798,8.98743088065501,5.06697988465213E-17)); #41986=CARTESIAN_POINT('',(11.03829329,8.82460761,0.0200000000000003)); #41987=CARTESIAN_POINT('',(11.03829329,8.82460761,2.77555756156289E-16)); #41988=CARTESIAN_POINT('Origin',(11.3248851119798,8.98743088065501,0.0200000000000003)); #41989=CARTESIAN_POINT('Origin',(64.2850488019824,8.53536004182535,2.77555756156289E-16)); #41990=CARTESIAN_POINT('',(11.03854629,8.20278380999993,2.77555756156289E-16)); #41991=CARTESIAN_POINT('Origin',(64.2850488019824,8.53536004182535,4.81210906815237E-17)); #41992=CARTESIAN_POINT('',(11.03854629,8.20278380999993,0.0200000000000003)); #41993=CARTESIAN_POINT('',(11.03854629,8.20278380999993,2.77555756156289E-16)); #41994=CARTESIAN_POINT('Origin',(64.2850488019824,8.53536004182535,0.0200000000000003)); #41995=CARTESIAN_POINT('Origin',(11.03847229,8.15421311000001,2.77555756156289E-16)); #41996=CARTESIAN_POINT('',(11.03847229,8.15421311000001,2.77555756156289E-16)); #41997=CARTESIAN_POINT('',(11.0322478082073,4.06870719359342,1.54074395550979E-32)); #41998=CARTESIAN_POINT('',(11.03847229,8.15421311000001,0.0200000000000003)); #41999=CARTESIAN_POINT('',(11.03847229,8.15421311000001,2.77555756156289E-16)); #42000=CARTESIAN_POINT('',(11.03854629,8.20278381000001,0.0200000000000003)); #42001=CARTESIAN_POINT('Origin',(10.8798184835874,8.15545309825683,2.77555756156289E-16)); #42002=CARTESIAN_POINT('Origin',(10.8798184835874,8.15545309825683,4.59792318270152E-17)); #42003=CARTESIAN_POINT('Origin',(10.8798184835874,8.15545309825683,0.0200000000000003)); #42004=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #42005=CARTESIAN_POINT('Origin',(15.82479029,8.88896481000001,2.77555756156289E-16)); #42006=CARTESIAN_POINT('',(15.82479029,8.88896481000001,2.77555756156289E-16)); #42007=CARTESIAN_POINT('',(15.7549192899999,8.89240471000001,2.77555756156289E-16)); #42008=CARTESIAN_POINT('',(8.14980925701349,9.26682067660252,3.08148791101958E-32)); #42009=CARTESIAN_POINT('',(15.82479029,8.88896481000001,0.0200000000000003)); #42010=CARTESIAN_POINT('',(15.82479029,8.88896481000001,2.77555756156289E-16)); #42011=CARTESIAN_POINT('',(15.7549192899999,8.89240471000001,0.0200000000000003)); #42012=CARTESIAN_POINT('',(15.75491929,8.89240471000001,0.0200000000000003)); #42013=CARTESIAN_POINT('',(15.7549192899999,8.89240471000001,2.77555756156289E-16)); #42014=CARTESIAN_POINT('Origin',(15.8219786562881,8.76301763205366,2.77555756156289E-16)); #42015=CARTESIAN_POINT('',(15.85972929,8.88320701000001,2.77555756156289E-16)); #42016=CARTESIAN_POINT('Origin',(15.8219786562881,8.76301763205366,4.94045903218471E-17)); #42017=CARTESIAN_POINT('',(15.85972929,8.88320701000001,0.0200000000000003)); #42018=CARTESIAN_POINT('',(15.85972929,8.88320701000001,2.77555756156289E-16)); #42019=CARTESIAN_POINT('Origin',(15.8219786562881,8.76301763205366,0.0200000000000003)); #42020=CARTESIAN_POINT('Origin',(15.86533629,8.87817831000001,2.77555756156289E-16)); #42021=CARTESIAN_POINT('',(15.86533629,8.87817831000001,2.77555756156289E-16)); #42022=CARTESIAN_POINT('',(13.675406115901,10.8422414215562,-3.08148791101958E-32)); #42023=CARTESIAN_POINT('',(15.86533629,8.87817831000001,0.0200000000000003)); #42024=CARTESIAN_POINT('',(15.86533629,8.87817831000001,2.77555756156289E-16)); #42025=CARTESIAN_POINT('',(15.85972929,8.88320701000001,0.0200000000000003)); #42026=CARTESIAN_POINT('Origin',(15.87007929,8.87132901000001,2.77555756156289E-16)); #42027=CARTESIAN_POINT('',(15.87007929,8.87132901000001,2.77555756156289E-16)); #42028=CARTESIAN_POINT('',(15.3743360307012,9.58722492100908,3.08148791101958E-32)); #42029=CARTESIAN_POINT('',(15.87007929,8.87132901000001,0.0200000000000003)); #42030=CARTESIAN_POINT('',(15.87007929,8.87132901000001,2.77555756156289E-16)); #42031=CARTESIAN_POINT('',(15.86533629,8.87817831000001,0.0200000000000003)); #42032=CARTESIAN_POINT('Origin',(15.6727918950309,8.77423188205652,2.77555756156289E-16)); #42033=CARTESIAN_POINT('',(15.84891829,8.64258971000001,2.77555756156289E-16)); #42034=CARTESIAN_POINT('Origin',(15.6727918950309,8.77423188205652,4.94678145957697E-17)); #42035=CARTESIAN_POINT('',(15.84891829,8.64258971000001,0.0200000000000003)); #42036=CARTESIAN_POINT('',(15.84891829,8.64258971000001,2.77555756156289E-16)); #42037=CARTESIAN_POINT('Origin',(15.6727918950309,8.77423188205652,0.0200000000000003)); #42038=CARTESIAN_POINT('Origin',(15.83628929,8.63855281000001,2.77555756156289E-16)); #42039=CARTESIAN_POINT('',(15.83628929,8.63855281000001,2.77555756156289E-16)); #42040=CARTESIAN_POINT('',(7.39952701363083,5.94171492628071,0.)); #42041=CARTESIAN_POINT('',(15.83628929,8.63855281000001,0.0200000000000003)); #42042=CARTESIAN_POINT('',(15.83628929,8.63855281000001,2.77555756156289E-16)); #42043=CARTESIAN_POINT('',(15.84891829,8.64258971000001,0.0200000000000003)); #42044=CARTESIAN_POINT('Origin',(15.82920029,8.63756821000001,2.77555756156289E-16)); #42045=CARTESIAN_POINT('',(15.82920029,8.63756821000001,2.77555756156289E-16)); #42046=CARTESIAN_POINT('',(7.47590050506208,7.47736804520446,0.)); #42047=CARTESIAN_POINT('',(15.82920029,8.63756821000001,0.0200000000000003)); #42048=CARTESIAN_POINT('',(15.82920029,8.63756821000001,2.77555756156289E-16)); #42049=CARTESIAN_POINT('',(15.83628929,8.63855281000001,0.0200000000000003)); #42050=CARTESIAN_POINT('Origin',(15.4201658594502,19.4161426627656,5.55111512312578E-16)); #42051=CARTESIAN_POINT('',(14.92331929,8.64125891000001,2.77555756156289E-16)); #42052=CARTESIAN_POINT('Origin',(15.4201658594502,19.4161426627656,1.09465325092546E-16)); #42053=CARTESIAN_POINT('',(14.92331929,8.64125891000001,0.0200000000000003)); #42054=CARTESIAN_POINT('',(14.92331929,8.64125891000001,2.77555756156289E-16)); #42055=CARTESIAN_POINT('Origin',(15.4201658594502,19.4161426627656,0.0200000000000006)); #42056=CARTESIAN_POINT('Origin',(14.8895407470767,9.05972694161109,2.77555756156289E-16)); #42057=CARTESIAN_POINT('',(14.60361329,8.75231521000001,2.77555756156289E-16)); #42058=CARTESIAN_POINT('Origin',(14.8895407470767,9.05972694161109,5.1077393287545E-17)); #42059=CARTESIAN_POINT('',(14.60361329,8.75231521000001,0.0200000000000003)); #42060=CARTESIAN_POINT('',(14.60361329,8.75231521000001,2.77555756156289E-16)); #42061=CARTESIAN_POINT('Origin',(14.8895407470767,9.05972694161109,0.0200000000000003)); #42062=CARTESIAN_POINT('Origin',(14.892953547867,9.05658438871763,2.77555756156289E-16)); #42063=CARTESIAN_POINT('',(14.47315229,9.06461071000001,2.77555756156289E-16)); #42064=CARTESIAN_POINT('Origin',(14.892953547867,9.05658438871763,5.1059676041639E-17)); #42065=CARTESIAN_POINT('',(14.47315229,9.06461071000001,0.0200000000000003)); #42066=CARTESIAN_POINT('',(14.47315229,9.06461071000001,2.77555756156289E-16)); #42067=CARTESIAN_POINT('Origin',(14.892953547867,9.05658438871763,0.0200000000000003)); #42068=CARTESIAN_POINT('Origin',(14.8684159805858,9.11803369164997,2.77555756156289E-16)); #42069=CARTESIAN_POINT('',(14.55682829,9.36703271000001,2.77555756156289E-16)); #42070=CARTESIAN_POINT('Origin',(14.8684159805858,9.11803369164997,5.14061180738712E-17)); #42071=CARTESIAN_POINT('',(14.55682829,9.36703271000001,0.0200000000000003)); #42072=CARTESIAN_POINT('',(14.55682829,9.36703271000001,2.77555756156289E-16)); #42073=CARTESIAN_POINT('Origin',(14.8684159805858,9.11803369164997,0.0200000000000003)); #42074=CARTESIAN_POINT('Origin',(14.8661105654348,9.11527133014341,2.77555756156289E-16)); #42075=CARTESIAN_POINT('',(14.83467929,9.51282810999981,2.77555756156289E-16)); #42076=CARTESIAN_POINT('Origin',(14.8661105654348,9.11527133014341,5.13905442904688E-17)); #42077=CARTESIAN_POINT('',(14.83467929,9.51282810999981,0.0200000000000003)); #42078=CARTESIAN_POINT('',(14.83467929,9.51282810999981,2.77555756156289E-16)); #42079=CARTESIAN_POINT('Origin',(14.8661105654348,9.11527133014341,0.0200000000000003)); #42080=CARTESIAN_POINT('Origin',(339.972987126191,-2833.25616879969,1.27675647831893E-14)); #42081=CARTESIAN_POINT('',(15.5295922874616,9.59222190970994,2.77555756156289E-16)); #42082=CARTESIAN_POINT('Origin',(339.972987126191,-2833.25616879969,-1.5973476965787E-14)); #42083=CARTESIAN_POINT('',(15.5295922874616,9.59222190970994,0.0200000000000003)); #42084=CARTESIAN_POINT('',(15.5295922874616,9.59222190970994,2.77555756156289E-16)); #42085=CARTESIAN_POINT('Origin',(339.972987126191,-2833.25616879969,0.0200000000000128)); #42086=CARTESIAN_POINT('Origin',(15.55843129,9.59872311000001,2.77555756156289E-16)); #42087=CARTESIAN_POINT('',(15.55843129,9.59872311000001,2.77555756156289E-16)); #42088=CARTESIAN_POINT('',(7.12582851494519,7.69775438149998,0.)); #42089=CARTESIAN_POINT('',(15.55843129,9.59872311000001,0.0200000000000003)); #42090=CARTESIAN_POINT('',(15.55843129,9.59872311000001,2.77555756156289E-16)); #42091=CARTESIAN_POINT('',(15.52959229,9.59222191000001,0.0200000000000003)); #42092=CARTESIAN_POINT('Origin',(15.535522401804,9.75150436923552,2.77555756156289E-16)); #42093=CARTESIAN_POINT('',(15.65925129,9.65899441000001,2.77555756156289E-16)); #42094=CARTESIAN_POINT('Origin',(15.535522401804,9.75150436923552,5.49775315550606E-17)); #42095=CARTESIAN_POINT('',(15.65925129,9.65899441000001,0.0200000000000003)); #42096=CARTESIAN_POINT('',(15.65925129,9.65899441000001,2.77555756156289E-16)); #42097=CARTESIAN_POINT('Origin',(15.535522401804,9.75150436923552,0.0200000000000003)); #42098=CARTESIAN_POINT('Origin',(15.5348442557546,9.75043202552978,2.77555756156289E-16)); #42099=CARTESIAN_POINT('',(15.68758429,9.77298041000001,2.77555756156289E-16)); #42100=CARTESIAN_POINT('Origin',(15.5348442557546,9.75043202552978,5.49714858407084E-17)); #42101=CARTESIAN_POINT('',(15.68758429,9.77298041000001,0.0200000000000003)); #42102=CARTESIAN_POINT('',(15.68758429,9.77298041000001,2.77555756156289E-16)); #42103=CARTESIAN_POINT('Origin',(15.5348442557546,9.75043202552978,0.0200000000000003)); #42104=CARTESIAN_POINT('Origin',(15.5014278386101,9.77622489053401,2.77555756156289E-16)); #42105=CARTESIAN_POINT('',(15.62548329,9.91505931000001,2.77555756156289E-16)); #42106=CARTESIAN_POINT('Origin',(15.5014278386101,9.77622489053401,5.5116902178124E-17)); #42107=CARTESIAN_POINT('',(15.62548329,9.91505931000001,0.0200000000000003)); #42108=CARTESIAN_POINT('',(15.62548329,9.91505931000001,2.77555756156289E-16)); #42109=CARTESIAN_POINT('Origin',(15.5014278386101,9.77622489053401,0.0200000000000003)); #42110=CARTESIAN_POINT('Origin',(15.501720841779,9.77609899649991,2.77555756156289E-16)); #42111=CARTESIAN_POINT('',(15.47726529,9.96056861000001,2.77555756156289E-16)); #42112=CARTESIAN_POINT('Origin',(15.501720841779,9.77609899649991,5.51161924062805E-17)); #42113=CARTESIAN_POINT('',(15.47726529,9.96056861000001,0.0200000000000003)); #42114=CARTESIAN_POINT('',(15.47726529,9.96056861000001,2.77555756156289E-16)); #42115=CARTESIAN_POINT('Origin',(15.501720841779,9.77609899649991,0.0200000000000003)); #42116=CARTESIAN_POINT('Origin',(15.0017157648618,-15.1616557644387,5.55111512312578E-16)); #42117=CARTESIAN_POINT('',(14.5791462900001,9.96151561000001,2.77555756156289E-16)); #42118=CARTESIAN_POINT('Origin',(15.0017157648618,-15.1616557644387,-8.54791606150656E-17)); #42119=CARTESIAN_POINT('',(14.5791462900001,9.96151561000001,0.0200000000000003)); #42120=CARTESIAN_POINT('',(14.5791462900001,9.96151561000001,2.77555756156289E-16)); #42121=CARTESIAN_POINT('Origin',(15.0017157648618,-15.1616557644387,0.0200000000000006)); #42122=CARTESIAN_POINT('Origin',(14.56486929,9.96358361000001,2.77555756156289E-16)); #42123=CARTESIAN_POINT('',(14.56486929,9.96358361000001,2.77555756156289E-16)); #42124=CARTESIAN_POINT('',(8.13886333616982,10.8943800176851,-6.16297582203915E-32)); #42125=CARTESIAN_POINT('',(14.56486929,9.96358361000001,0.0200000000000003)); #42126=CARTESIAN_POINT('',(14.56486929,9.96358361000001,2.77555756156289E-16)); #42127=CARTESIAN_POINT('',(14.57914629,9.96151561000001,0.0200000000000003)); #42128=CARTESIAN_POINT('Origin',(14.55178929,9.96686291000001,2.77555756156289E-16)); #42129=CARTESIAN_POINT('',(14.55178929,9.96686291000001,2.77555756156289E-16)); #42130=CARTESIAN_POINT('',(8.88169526185535,11.3884179059094,0.)); #42131=CARTESIAN_POINT('',(14.55178929,9.96686291000001,0.0200000000000003)); #42132=CARTESIAN_POINT('',(14.55178929,9.96686291000001,2.77555756156289E-16)); #42133=CARTESIAN_POINT('',(14.56486929,9.96358361000001,0.0200000000000003)); #42134=CARTESIAN_POINT('Origin',(14.54003829,9.97157691,2.77555756156289E-16)); #42135=CARTESIAN_POINT('',(14.54003829,9.97157691,2.77555756156289E-16)); #42136=CARTESIAN_POINT('',(10.0006206702062,11.7925976452293,0.)); #42137=CARTESIAN_POINT('',(14.54003829,9.97157691,0.0200000000000003)); #42138=CARTESIAN_POINT('',(14.54003829,9.97157691,2.77555756156289E-16)); #42139=CARTESIAN_POINT('',(14.55178929,9.96686291000001,0.0200000000000003)); #42140=CARTESIAN_POINT('Origin',(14.52974229,9.97794931000001,2.77555756156289E-16)); #42141=CARTESIAN_POINT('',(14.52974229,9.97794931000001,2.77555756156289E-16)); #42142=CARTESIAN_POINT('',(11.5095629168047,11.8471986337926,0.)); #42143=CARTESIAN_POINT('',(14.52974229,9.97794931000001,0.0200000000000003)); #42144=CARTESIAN_POINT('',(14.52974229,9.97794931000001,2.77555756156289E-16)); #42145=CARTESIAN_POINT('',(14.54003829,9.97157691,0.0200000000000003)); #42146=CARTESIAN_POINT('Origin',(14.52103129,9.98620391000001,2.77555756156289E-16)); #42147=CARTESIAN_POINT('',(14.52103129,9.98620391000001,2.77555756156289E-16)); #42148=CARTESIAN_POINT('',(13.1885361223511,11.2488849582003,-3.08148791101958E-32)); #42149=CARTESIAN_POINT('',(14.52103129,9.98620391000001,0.0200000000000003)); #42150=CARTESIAN_POINT('',(14.52103129,9.98620391000001,2.77555756156289E-16)); #42151=CARTESIAN_POINT('',(14.52974229,9.97794931000001,0.0200000000000003)); #42152=CARTESIAN_POINT('Origin',(14.51403229,9.99656311000001,2.77555756156289E-16)); #42153=CARTESIAN_POINT('',(14.51403229,9.99656311000001,2.77555756156289E-16)); #42154=CARTESIAN_POINT('',(14.5582002534878,9.93119023282288,-3.08148791101958E-32)); #42155=CARTESIAN_POINT('',(14.51403229,9.99656311000001,0.0200000000000003)); #42156=CARTESIAN_POINT('',(14.51403229,9.99656311000001,2.77555756156289E-16)); #42157=CARTESIAN_POINT('',(14.52103129,9.98620391000001,0.0200000000000003)); #42158=CARTESIAN_POINT('Origin',(14.50887429,10.00925131,2.77555756156289E-16)); #42159=CARTESIAN_POINT('',(14.50887429,10.00925131,2.77555756156289E-16)); #42160=CARTESIAN_POINT('',(15.2259887839056,8.24521638918191,-3.08148791101958E-32)); #42161=CARTESIAN_POINT('',(14.50887429,10.00925131,0.0200000000000003)); #42162=CARTESIAN_POINT('',(14.50887429,10.00925131,2.77555756156289E-16)); #42163=CARTESIAN_POINT('',(14.51403229,9.99656311000001,0.0200000000000003)); #42164=CARTESIAN_POINT('Origin',(14.6201559010898,10.0404799913902,2.77555756156289E-16)); #42165=CARTESIAN_POINT('',(14.50459329,10.04250741,2.77555756156289E-16)); #42166=CARTESIAN_POINT('Origin',(14.6201559010898,10.0404799913902,5.6606733141205E-17)); #42167=CARTESIAN_POINT('',(14.50459329,10.04250741,0.0200000000000003)); #42168=CARTESIAN_POINT('',(14.50459329,10.04250741,2.77555756156289E-16)); #42169=CARTESIAN_POINT('Origin',(14.6201559010898,10.0404799913902,0.0200000000000003)); #42170=CARTESIAN_POINT('Origin',(14.50336129,10.11517781,2.77555756156289E-16)); #42171=CARTESIAN_POINT('',(14.50336129,10.11517781,2.77555756156289E-16)); #42172=CARTESIAN_POINT('',(14.586995632337,5.18194638843754,0.)); #42173=CARTESIAN_POINT('',(14.50336129,10.11517781,0.0200000000000003)); #42174=CARTESIAN_POINT('',(14.50336129,10.11517781,2.77555756156289E-16)); #42175=CARTESIAN_POINT('',(14.50459329,10.04250741,0.0200000000000003)); #42176=CARTESIAN_POINT('Origin',(14.6045266699802,10.1385257869742,2.77555756156289E-16)); #42177=CARTESIAN_POINT('',(14.52958429,10.21038121,2.77555756156289E-16)); #42178=CARTESIAN_POINT('Origin',(14.6045266699802,10.1385257869742,5.7159500756996E-17)); #42179=CARTESIAN_POINT('',(14.52958429,10.21038121,0.0200000000000003)); #42180=CARTESIAN_POINT('',(14.52958429,10.21038121,2.77555756156289E-16)); #42181=CARTESIAN_POINT('Origin',(14.6045266699802,10.1385257869742,0.0200000000000003)); #42182=CARTESIAN_POINT('Origin',(14.53921529,10.21609851,2.77555756156289E-16)); #42183=CARTESIAN_POINT('',(14.53921529,10.21609851,2.77555756156289E-16)); #42184=CARTESIAN_POINT('',(6.92171692960879,5.69408383074957,-3.08148791101958E-32)); #42185=CARTESIAN_POINT('',(14.53921529,10.21609851,0.0200000000000003)); #42186=CARTESIAN_POINT('',(14.53921529,10.21609851,2.77555756156289E-16)); #42187=CARTESIAN_POINT('',(14.52958429,10.21038121,0.0200000000000003)); #42188=CARTESIAN_POINT('Origin',(14.55088329,10.22018881,2.77555756156289E-16)); #42189=CARTESIAN_POINT('',(14.55088329,10.22018881,2.77555756156289E-16)); #42190=CARTESIAN_POINT('',(6.47634413832058,7.38960194917337,0.)); #42191=CARTESIAN_POINT('',(14.55088329,10.22018881,0.0200000000000003)); #42192=CARTESIAN_POINT('',(14.55088329,10.22018881,2.77555756156289E-16)); #42193=CARTESIAN_POINT('',(14.53921529,10.21609851,0.0200000000000003)); #42194=CARTESIAN_POINT('Origin',(14.5768094819478,10.110797365006,2.77555756156289E-16)); #42195=CARTESIAN_POINT('',(14.57844729,10.22320721,2.77555756156289E-16)); #42196=CARTESIAN_POINT('Origin',(14.5768094819478,10.110797365006,5.70031720372412E-17)); #42197=CARTESIAN_POINT('',(14.57844729,10.22320721,0.0200000000000003)); #42198=CARTESIAN_POINT('',(14.57844729,10.22320721,2.77555756156289E-16)); #42199=CARTESIAN_POINT('Origin',(14.5768094819478,10.110797365006,0.0200000000000003)); #42200=CARTESIAN_POINT('Origin',(14.9874121288866,3.01284871734933,5.55111512312578E-16)); #42201=CARTESIAN_POINT('',(15.53355029,10.21411631,2.77555756156289E-16)); #42202=CARTESIAN_POINT('Origin',(14.9874121288866,3.01284871734933,1.69859930485456E-17)); #42203=CARTESIAN_POINT('',(15.53355029,10.21411631,0.0200000000000003)); #42204=CARTESIAN_POINT('',(15.53355029,10.21411631,2.77555756156289E-16)); #42205=CARTESIAN_POINT('Origin',(14.9874121288866,3.01284871734933,0.0200000000000006)); #42206=CARTESIAN_POINT('Origin',(15.5710345064906,9.82469825852079,2.77555756156289E-16)); #42207=CARTESIAN_POINT('',(15.81170329,10.13312981,2.77555756156289E-16)); #42208=CARTESIAN_POINT('Origin',(15.5710345064906,9.82469825852079,5.53901878186945E-17)); #42209=CARTESIAN_POINT('',(15.81170329,10.13312981,0.0200000000000003)); #42210=CARTESIAN_POINT('',(15.81170329,10.13312981,2.77555756156289E-16)); #42211=CARTESIAN_POINT('Origin',(15.5710345064906,9.82469825852079,0.0200000000000003)); #42212=CARTESIAN_POINT('Origin',(15.5677968985866,9.82740891113852,2.77555756156289E-16)); #42213=CARTESIAN_POINT('',(15.95514229,9.88143801000001,2.77555756156289E-16)); #42214=CARTESIAN_POINT('Origin',(15.5677968985866,9.82740891113852,5.54054700750709E-17)); #42215=CARTESIAN_POINT('',(15.95514229,9.88143801000001,0.0200000000000003)); #42216=CARTESIAN_POINT('',(15.95514229,9.88143801000001,2.77555756156289E-16)); #42217=CARTESIAN_POINT('Origin',(15.5677968985866,9.82740891113852,0.0200000000000003)); #42218=CARTESIAN_POINT('Origin',(15.5469043080204,9.7716396590814,2.77555756156289E-16)); #42219=CARTESIAN_POINT('',(15.78210929,9.42036691000001,2.77555756156289E-16)); #42220=CARTESIAN_POINT('Origin',(15.5469043080204,9.7716396590814,5.50910513250321E-17)); #42221=CARTESIAN_POINT('',(15.78210929,9.42036691000001,0.0200000000000003)); #42222=CARTESIAN_POINT('',(15.78210929,9.42036691000001,2.77555756156289E-16)); #42223=CARTESIAN_POINT('Origin',(15.5469043080204,9.7716396590814,0.0200000000000003)); #42224=CARTESIAN_POINT('Origin',(14.8163607144397,12.4261965757838,2.77555756156289E-16)); #42225=CARTESIAN_POINT('',(14.91678529,9.27063051000001,2.77555756156289E-16)); #42226=CARTESIAN_POINT('Origin',(14.8163607144397,12.4261965757838,7.00570484806229E-17)); #42227=CARTESIAN_POINT('',(14.91678529,9.27063051000001,0.0200000000000003)); #42228=CARTESIAN_POINT('',(14.91678529,9.27063051000001,2.77555756156289E-16)); #42229=CARTESIAN_POINT('Origin',(14.8163607144397,12.4261965757838,0.0200000000000003)); #42230=CARTESIAN_POINT('Origin',(14.89353329,9.26641241000001,2.77555756156289E-16)); #42231=CARTESIAN_POINT('',(14.89353329,9.26641241000001,2.77555756156289E-16)); #42232=CARTESIAN_POINT('',(6.87030132337254,7.81093353685317,0.)); #42233=CARTESIAN_POINT('',(14.89353329,9.26641241000001,0.0200000000000003)); #42234=CARTESIAN_POINT('',(14.89353329,9.26641241000001,2.77555756156289E-16)); #42235=CARTESIAN_POINT('',(14.91678529,9.27063051000001,0.0200000000000003)); #42236=CARTESIAN_POINT('Origin',(14.8909947941443,9.11833997055602,2.77555756156289E-16)); #42237=CARTESIAN_POINT('',(14.78206429,9.21866961000001,2.77555756156289E-16)); #42238=CARTESIAN_POINT('Origin',(14.8909947941443,9.11833997055602,5.14078448287989E-17)); #42239=CARTESIAN_POINT('',(14.78206429,9.21866961000001,0.0200000000000003)); #42240=CARTESIAN_POINT('',(14.78206429,9.21866961000001,2.77555756156289E-16)); #42241=CARTESIAN_POINT('Origin',(14.8909947941443,9.11833997055602,0.0200000000000003)); #42242=CARTESIAN_POINT('Origin',(14.8923859495228,9.12005320371021,2.77555756156289E-16)); #42243=CARTESIAN_POINT('',(14.74538729,9.10309861000001,2.77555756156289E-16)); #42244=CARTESIAN_POINT('Origin',(14.8923859495228,9.12005320371021,5.14175037825592E-17)); #42245=CARTESIAN_POINT('',(14.74538729,9.10309861000001,0.0200000000000003)); #42246=CARTESIAN_POINT('',(14.74538729,9.10309861000001,2.77555756156289E-16)); #42247=CARTESIAN_POINT('Origin',(14.8923859495228,9.12005320371021,0.0200000000000003)); #42248=CARTESIAN_POINT('Origin',(14.9237985340522,9.07808366059113,2.77555756156289E-16)); #42249=CARTESIAN_POINT('',(14.78947829,8.95802371000001,2.77555756156289E-16)); #42250=CARTESIAN_POINT('Origin',(14.9237985340522,9.07808366059113,5.1180885739454E-17)); #42251=CARTESIAN_POINT('',(14.78947829,8.95802371000001,0.0200000000000003)); #42252=CARTESIAN_POINT('',(14.78947829,8.95802371000001,2.77555756156289E-16)); #42253=CARTESIAN_POINT('Origin',(14.9237985340522,9.07808366059113,0.0200000000000003)); #42254=CARTESIAN_POINT('Origin',(14.9220479369441,9.07971900292538,2.77555756156289E-16)); #42255=CARTESIAN_POINT('',(14.92950629,8.89991681000001,2.77555756156289E-16)); #42256=CARTESIAN_POINT('Origin',(14.9220479369441,9.07971900292538,5.1190105556354E-17)); #42257=CARTESIAN_POINT('',(14.92950629,8.89991681000001,0.0200000000000003)); #42258=CARTESIAN_POINT('',(14.92950629,8.89991681000001,2.77555756156289E-16)); #42259=CARTESIAN_POINT('Origin',(14.9220479369441,9.07971900292538,0.0200000000000003)); #42260=CARTESIAN_POINT('Origin',(15.5823631167513,35.2833496492399,2.77555756156289E-16)); #42261=CARTESIAN_POINT('Origin',(15.5823631167513,35.2833496492399,1.98922278579812E-16)); #42262=CARTESIAN_POINT('Origin',(15.5823631167513,35.2833496492399,0.0200000000000003)); #42263=CARTESIAN_POINT('Origin',(8.60000241,8.59999759,0.0200000000000003)); #42264=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42265=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42266=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42267=CARTESIAN_POINT('',(0.499999999999999,0.499999999999999,0.)); #42268=CARTESIAN_POINT('',(0.4,0.499999999999999,0.)); #42269=CARTESIAN_POINT('',(0.,0.499999999999999,0.)); #42270=CARTESIAN_POINT('',(0.4,16.7,-1.62630325872826E-16)); #42271=CARTESIAN_POINT('',(0.4,16.7,0.)); #42272=CARTESIAN_POINT('',(0.499999999999998,16.7,0.)); #42273=CARTESIAN_POINT('',(0.,16.7,0.)); #42274=CARTESIAN_POINT('',(0.499999999999998,16.8,0.)); #42275=CARTESIAN_POINT('',(0.499999999999998,0.,0.)); #42276=CARTESIAN_POINT('',(16.7,16.8,-3.41523684332934E-15)); #42277=CARTESIAN_POINT('',(16.7,16.8,0.)); #42278=CARTESIAN_POINT('',(16.7,16.7,0.)); #42279=CARTESIAN_POINT('',(16.7,0.,0.)); #42280=CARTESIAN_POINT('',(16.8,16.7,0.)); #42281=CARTESIAN_POINT('',(0.,16.7,0.)); #42282=CARTESIAN_POINT('',(16.8,0.499999999999997,-3.41523684332934E-15)); #42283=CARTESIAN_POINT('',(16.8,0.499999999999999,0.)); #42284=CARTESIAN_POINT('',(16.7,0.499999999999999,0.)); #42285=CARTESIAN_POINT('',(0.,0.499999999999999,0.)); #42286=CARTESIAN_POINT('',(16.7,0.4,0.)); #42287=CARTESIAN_POINT('',(16.7,0.,0.)); #42288=CARTESIAN_POINT('',(0.499999999999997,0.4,-1.62630325872826E-16)); #42289=CARTESIAN_POINT('',(0.499999999999999,0.4,0.)); #42290=CARTESIAN_POINT('',(0.499999999999999,0.,0.)); #42291=CARTESIAN_POINT('',(1.65,15.95,-2.77555756156289E-16)); #42292=CARTESIAN_POINT('Origin',(1.25,15.95,0.)); #42293=CARTESIAN_POINT('',(13.95,6.1,0.)); #42294=CARTESIAN_POINT('Origin',(13.55,6.1,0.)); #42295=CARTESIAN_POINT('',(10.65,4.1,0.)); #42296=CARTESIAN_POINT('Origin',(10.25,4.1,0.)); #42297=CARTESIAN_POINT('',(10.65,6.1,0.)); #42298=CARTESIAN_POINT('Origin',(10.25,6.1,0.)); #42299=CARTESIAN_POINT('',(7.35,4.1,0.)); #42300=CARTESIAN_POINT('Origin',(6.95,4.1,0.)); #42301=CARTESIAN_POINT('',(13.95,4.1,0.)); #42302=CARTESIAN_POINT('Origin',(13.55,4.1,0.)); #42303=CARTESIAN_POINT('',(12.3,5.1,0.)); #42304=CARTESIAN_POINT('Origin',(11.9,5.1,0.)); #42305=CARTESIAN_POINT('',(2.4,5.1,2.77555756156289E-16)); #42306=CARTESIAN_POINT('Origin',(2.,5.1,0.)); #42307=CARTESIAN_POINT('',(5.7,5.1,0.)); #42308=CARTESIAN_POINT('Origin',(5.3,5.1,0.)); #42309=CARTESIAN_POINT('',(9.,5.1,0.)); #42310=CARTESIAN_POINT('Origin',(8.6,5.1,0.)); #42311=CARTESIAN_POINT('',(7.35,6.1,0.)); #42312=CARTESIAN_POINT('Origin',(6.95,6.1,0.)); #42313=CARTESIAN_POINT('',(4.05,4.1,0.)); #42314=CARTESIAN_POINT('Origin',(3.65,4.1,0.)); #42315=CARTESIAN_POINT('',(4.05,6.1,0.)); #42316=CARTESIAN_POINT('Origin',(3.65,6.1,0.)); #42317=CARTESIAN_POINT('',(15.6,5.1,0.)); #42318=CARTESIAN_POINT('Origin',(15.2,5.1,0.)); #42319=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42320=CARTESIAN_POINT('Origin',(0.,0.,0.)); #42321=CARTESIAN_POINT('Origin',(1.25,15.95,1.7)); #42322=CARTESIAN_POINT('',(1.65,15.95,0.2)); #42323=CARTESIAN_POINT('Origin',(1.25,15.95,0.2)); #42324=CARTESIAN_POINT('',(1.65,15.95,1.7)); #42325=CARTESIAN_POINT('Origin',(13.55,6.1,1.7)); #42326=CARTESIAN_POINT('',(13.95,6.1,0.2)); #42327=CARTESIAN_POINT('Origin',(13.55,6.1,0.2)); #42328=CARTESIAN_POINT('',(13.95,6.1,1.7)); #42329=CARTESIAN_POINT('Origin',(10.25,4.1,1.7)); #42330=CARTESIAN_POINT('',(10.65,4.1,0.2)); #42331=CARTESIAN_POINT('Origin',(10.25,4.1,0.2)); #42332=CARTESIAN_POINT('',(10.65,4.1,1.7)); #42333=CARTESIAN_POINT('Origin',(10.25,6.1,1.7)); #42334=CARTESIAN_POINT('',(10.65,6.1,0.2)); #42335=CARTESIAN_POINT('Origin',(10.25,6.1,0.2)); #42336=CARTESIAN_POINT('',(10.65,6.1,1.7)); #42337=CARTESIAN_POINT('Origin',(6.95,4.1,1.7)); #42338=CARTESIAN_POINT('',(7.35,4.1,0.2)); #42339=CARTESIAN_POINT('Origin',(6.95,4.1,0.2)); #42340=CARTESIAN_POINT('',(7.35,4.1,1.7)); #42341=CARTESIAN_POINT('Origin',(13.55,4.1,1.7)); #42342=CARTESIAN_POINT('',(13.95,4.1,0.2)); #42343=CARTESIAN_POINT('Origin',(13.55,4.1,0.2)); #42344=CARTESIAN_POINT('',(13.95,4.1,1.7)); #42345=CARTESIAN_POINT('Origin',(11.9,5.1,1.7)); #42346=CARTESIAN_POINT('',(12.3,5.1,0.2)); #42347=CARTESIAN_POINT('Origin',(11.9,5.1,0.2)); #42348=CARTESIAN_POINT('',(12.3,5.1,1.7)); #42349=CARTESIAN_POINT('Origin',(2.,5.1,1.7)); #42350=CARTESIAN_POINT('',(2.4,5.1,0.2)); #42351=CARTESIAN_POINT('Origin',(2.,5.1,0.2)); #42352=CARTESIAN_POINT('',(2.4,5.1,1.7)); #42353=CARTESIAN_POINT('Origin',(5.3,5.1,1.7)); #42354=CARTESIAN_POINT('',(5.7,5.1,0.2)); #42355=CARTESIAN_POINT('Origin',(5.3,5.1,0.2)); #42356=CARTESIAN_POINT('',(5.7,5.1,1.7)); #42357=CARTESIAN_POINT('Origin',(8.6,5.1,1.7)); #42358=CARTESIAN_POINT('',(9.,5.1,0.2)); #42359=CARTESIAN_POINT('Origin',(8.6,5.1,0.2)); #42360=CARTESIAN_POINT('',(9.,5.1,1.7)); #42361=CARTESIAN_POINT('Origin',(6.95,6.1,1.7)); #42362=CARTESIAN_POINT('',(7.35,6.1,0.2)); #42363=CARTESIAN_POINT('Origin',(6.95,6.1,0.2)); #42364=CARTESIAN_POINT('',(7.35,6.1,1.7)); #42365=CARTESIAN_POINT('Origin',(3.65,4.1,1.7)); #42366=CARTESIAN_POINT('',(4.05,4.1,0.2)); #42367=CARTESIAN_POINT('Origin',(3.65,4.1,0.2)); #42368=CARTESIAN_POINT('',(4.05,4.1,1.7)); #42369=CARTESIAN_POINT('Origin',(3.65,6.1,1.7)); #42370=CARTESIAN_POINT('',(4.05,6.1,0.2)); #42371=CARTESIAN_POINT('Origin',(3.65,6.1,0.2)); #42372=CARTESIAN_POINT('',(4.05,6.1,1.7)); #42373=CARTESIAN_POINT('Origin',(15.2,5.1,1.7)); #42374=CARTESIAN_POINT('',(15.6,5.1,0.2)); #42375=CARTESIAN_POINT('Origin',(15.2,5.1,0.2)); #42376=CARTESIAN_POINT('',(15.6,5.1,1.7)); #42377=CARTESIAN_POINT('Origin',(-16.4184525333192,0.,1.7)); #42378=CARTESIAN_POINT('',(17.2,0.270710678118655,1.7)); #42379=CARTESIAN_POINT('',(17.2,2.25,1.7)); #42380=CARTESIAN_POINT('',(17.2,0.,1.7)); #42381=CARTESIAN_POINT('',(17.,2.25,1.7)); #42382=CARTESIAN_POINT('',(-0.001000000000001,2.25,1.7)); #42383=CARTESIAN_POINT('',(17.,0.270710678118655,1.7)); #42384=CARTESIAN_POINT('',(17.,0.,1.7)); #42385=CARTESIAN_POINT('',(-16.4184525333192,0.270710678118655,1.7)); #42386=CARTESIAN_POINT('Origin',(-16.4184525333192,0.,1.7)); #42387=CARTESIAN_POINT('',(17.,3.05,1.7)); #42388=CARTESIAN_POINT('',(17.,6.65,1.7)); #42389=CARTESIAN_POINT('',(17.,0.,1.7)); #42390=CARTESIAN_POINT('',(17.2,3.05,1.7)); #42391=CARTESIAN_POINT('',(-0.001000000000001,3.05,1.7)); #42392=CARTESIAN_POINT('',(17.2,6.65,1.7)); #42393=CARTESIAN_POINT('',(17.2,0.,1.7)); #42394=CARTESIAN_POINT('',(-0.001000000000001,6.65,1.7)); #42395=CARTESIAN_POINT('Origin',(-16.4184525333192,0.,1.7)); #42396=CARTESIAN_POINT('',(17.,7.45,1.7)); #42397=CARTESIAN_POINT('',(17.,14.15,1.7)); #42398=CARTESIAN_POINT('',(17.,0.,1.7)); #42399=CARTESIAN_POINT('',(17.2,7.45,1.7)); #42400=CARTESIAN_POINT('',(-0.001000000000001,7.45,1.7)); #42401=CARTESIAN_POINT('',(17.2,14.15,1.7)); #42402=CARTESIAN_POINT('',(17.2,0.,1.7)); #42403=CARTESIAN_POINT('',(-0.001000000000001,14.15,1.7)); #42404=CARTESIAN_POINT('Origin',(33.6184525333192,16.9292893218813,1.7)); #42405=CARTESIAN_POINT('',(0.199999999999999,16.9292893218813,0.499999999999999)); #42406=CARTESIAN_POINT('',(-3.40792970642079E-17,16.9292893218813,0.499999999999999)); #42407=CARTESIAN_POINT('',(33.6184525333192,16.9292893218813,0.499999999999999)); #42408=CARTESIAN_POINT('',(-3.40792970642079E-17,16.9292893218813,1.7)); #42409=CARTESIAN_POINT('',(-3.40792970642079E-17,16.9292893218813,2.85)); #42410=CARTESIAN_POINT('',(0.199999999999999,16.9292893218813,1.7)); #42411=CARTESIAN_POINT('',(33.6184525333192,16.9292893218813,1.7)); #42412=CARTESIAN_POINT('',(0.2,16.9292893218813,1.7)); #42413=CARTESIAN_POINT('Origin',(33.6184525333192,0.,1.7)); #42414=CARTESIAN_POINT('',(-3.40792970642079E-17,0.270710678118655,1.7)); #42415=CARTESIAN_POINT('',(-3.40792970642079E-17,0.,1.7)); #42416=CARTESIAN_POINT('',(0.199999999999999,0.270710678118655,1.7)); #42417=CARTESIAN_POINT('',(33.6184525333192,0.270710678118655,1.7)); #42418=CARTESIAN_POINT('',(0.2,0.,1.7)); #42419=CARTESIAN_POINT('Origin',(33.6184525333192,0.270710678118655,1.7)); #42420=CARTESIAN_POINT('',(-3.40792970642079E-17,0.270710678118655,0.499999999999998)); #42421=CARTESIAN_POINT('',(-3.40792970642079E-17,0.270710678118655,2.85)); #42422=CARTESIAN_POINT('',(0.199999999999999,0.270710678118655,0.499999999999998)); #42423=CARTESIAN_POINT('',(33.6184525333192,0.270710678118655,0.499999999999998)); #42424=CARTESIAN_POINT('',(0.2,0.270710678118655,1.7)); #42425=CARTESIAN_POINT('Origin',(33.6184525333192,0.,0.499999999999999)); #42426=CARTESIAN_POINT('',(-3.40792970642079E-17,0.499999999999999,0.499999999999999)); #42427=CARTESIAN_POINT('',(-3.40792970642079E-17,0.,0.499999999999999)); #42428=CARTESIAN_POINT('',(0.199999999999999,0.499999999999999,0.499999999999999)); #42429=CARTESIAN_POINT('',(33.6184525333192,0.499999999999999,0.499999999999999)); #42430=CARTESIAN_POINT('',(0.2,0.,0.499999999999999)); #42431=CARTESIAN_POINT('Origin',(33.6184525333192,0.,0.499999999999999)); #42432=CARTESIAN_POINT('',(-3.40792970642079E-17,16.7,0.499999999999999)); #42433=CARTESIAN_POINT('',(-3.40792970642079E-17,0.,0.499999999999999)); #42434=CARTESIAN_POINT('',(0.199999999999999,16.7,0.499999999999999)); #42435=CARTESIAN_POINT('',(0.2,0.,0.499999999999999)); #42436=CARTESIAN_POINT('',(33.6184525333192,16.7,0.499999999999999)); #42437=CARTESIAN_POINT('Origin',(33.6184525333192,16.7,1.7)); #42438=CARTESIAN_POINT('',(0.2,16.7,0.4)); #42439=CARTESIAN_POINT('',(-5.42101086242752E-17,16.7,0.4)); #42440=CARTESIAN_POINT('',(33.6184525333192,16.7,0.4)); #42441=CARTESIAN_POINT('',(-3.40792970642079E-17,16.7,1.7)); #42442=CARTESIAN_POINT('',(0.2,16.7,1.7)); #42443=CARTESIAN_POINT('Origin',(-3.40792970642079E-17,0.,1.7)); #42444=CARTESIAN_POINT('',(-3.14671787350174E-17,0.499999999999999,0.4)); #42445=CARTESIAN_POINT('',(7.69530385135331E-17,16.7,0.4)); #42446=CARTESIAN_POINT('',(-3.40792970642079E-17,0.499999999999999,1.7)); #42447=CARTESIAN_POINT('Origin',(33.6184525333192,0.499999999999999,1.7)); #42448=CARTESIAN_POINT('',(0.2,0.499999999999994,0.399999999999998)); #42449=CARTESIAN_POINT('',(33.6184525333192,0.499999999999999,0.4)); #42450=CARTESIAN_POINT('',(0.2,0.499999999999999,1.7)); #42451=CARTESIAN_POINT('Origin',(0.2,0.,1.7)); #42452=CARTESIAN_POINT('',(0.199999999999999,16.7,0.4)); #42453=CARTESIAN_POINT('Origin',(0.270710678118655,33.6184525333192,1.7)); #42454=CARTESIAN_POINT('',(0.270710678118655,0.199999999999999,0.499999999999998)); #42455=CARTESIAN_POINT('',(0.270710678118655,-3.40792970642079E-17,0.499999999999998)); #42456=CARTESIAN_POINT('',(0.270710678118655,33.6184525333192,0.499999999999998)); #42457=CARTESIAN_POINT('',(0.270710678118655,-3.40792970642079E-17,1.7)); #42458=CARTESIAN_POINT('',(0.270710678118655,-3.40792970642079E-17,2.85)); #42459=CARTESIAN_POINT('',(0.270710678118655,0.199999999999999,1.7)); #42460=CARTESIAN_POINT('',(0.270710678118655,33.6184525333192,1.7)); #42461=CARTESIAN_POINT('',(0.270710678118655,0.2,1.7)); #42462=CARTESIAN_POINT('Origin',(0.,33.6184525333192,1.7)); #42463=CARTESIAN_POINT('',(16.9292893218813,1.18564814698198E-15,1.7)); #42464=CARTESIAN_POINT('',(0.,-3.40792970642079E-17,1.7)); #42465=CARTESIAN_POINT('',(16.9292893218813,0.199999999999999,1.7)); #42466=CARTESIAN_POINT('',(16.9292893218813,33.6184525333192,1.7)); #42467=CARTESIAN_POINT('',(0.,0.2,1.7)); #42468=CARTESIAN_POINT('Origin',(16.9292893218813,33.6184525333192,1.7)); #42469=CARTESIAN_POINT('',(16.9292893218813,1.15854309266985E-15,0.499999999999999)); #42470=CARTESIAN_POINT('',(16.9292893218813,1.18564814698198E-15,2.85)); #42471=CARTESIAN_POINT('',(16.9292893218813,0.199999999999999,0.499999999999999)); #42472=CARTESIAN_POINT('',(16.9292893218813,33.6184525333192,0.499999999999999)); #42473=CARTESIAN_POINT('',(16.9292893218813,0.2,1.7)); #42474=CARTESIAN_POINT('Origin',(0.,33.6184525333192,0.499999999999999)); #42475=CARTESIAN_POINT('',(16.7,-3.40792970642079E-17,0.499999999999999)); #42476=CARTESIAN_POINT('',(0.,-3.40792970642079E-17,0.499999999999999)); #42477=CARTESIAN_POINT('',(16.7,0.199999999999999,0.499999999999999)); #42478=CARTESIAN_POINT('',(16.7,33.6184525333192,0.499999999999999)); #42479=CARTESIAN_POINT('',(0.,0.2,0.499999999999999)); #42480=CARTESIAN_POINT('Origin',(0.,33.6184525333192,0.499999999999999)); #42481=CARTESIAN_POINT('',(0.499999999999999,-3.40792970642079E-17,0.499999999999999)); #42482=CARTESIAN_POINT('',(0.,-3.40792970642079E-17,0.499999999999999)); #42483=CARTESIAN_POINT('',(0.499999999999999,0.199999999999999,0.499999999999999)); #42484=CARTESIAN_POINT('',(0.,0.2,0.499999999999999)); #42485=CARTESIAN_POINT('',(0.499999999999999,33.6184525333192,0.499999999999999)); #42486=CARTESIAN_POINT('Origin',(0.499999999999999,33.6184525333192,1.7)); #42487=CARTESIAN_POINT('',(0.499999999999997,0.2,0.4)); #42488=CARTESIAN_POINT('',(0.499999999999997,-5.42101086242752E-17,0.4)); #42489=CARTESIAN_POINT('',(0.499999999999999,33.6184525333192,0.4)); #42490=CARTESIAN_POINT('',(0.499999999999999,-3.40792970642079E-17,1.7)); #42491=CARTESIAN_POINT('',(0.499999999999999,0.2,1.7)); #42492=CARTESIAN_POINT('Origin',(0.,-3.40792970642079E-17,1.7)); #42493=CARTESIAN_POINT('',(16.7,-3.14671787350174E-17,0.4)); #42494=CARTESIAN_POINT('',(0.499999999999999,7.69530385135331E-17,0.4)); #42495=CARTESIAN_POINT('',(16.7,-3.40792970642079E-17,1.7)); #42496=CARTESIAN_POINT('Origin',(16.7,33.6184525333192,1.7)); #42497=CARTESIAN_POINT('',(16.7,0.2,0.399999999999998)); #42498=CARTESIAN_POINT('',(16.7,33.6184525333192,0.4)); #42499=CARTESIAN_POINT('',(16.7,0.2,1.7)); #42500=CARTESIAN_POINT('Origin',(0.,0.2,1.7)); #42501=CARTESIAN_POINT('',(0.499999999999999,0.199999999999999,0.4)); #42502=CARTESIAN_POINT('Origin',(-16.4184525333192,0.270710678118655,1.7)); #42503=CARTESIAN_POINT('',(17.,0.270710678118655,0.500000000000004)); #42504=CARTESIAN_POINT('',(17.2,0.270710678118655,0.5)); #42505=CARTESIAN_POINT('',(-16.4184525333192,0.270710678118655,0.500000000000004)); #42506=CARTESIAN_POINT('',(17.2,0.270710678118655,2.85)); #42507=CARTESIAN_POINT('',(17.,0.270710678118655,1.7)); #42508=CARTESIAN_POINT('Origin',(-16.4184525333192,0.,1.7)); #42509=CARTESIAN_POINT('',(17.,14.95,1.7)); #42510=CARTESIAN_POINT('',(17.,16.9292893218813,1.7)); #42511=CARTESIAN_POINT('',(17.,0.,1.7)); #42512=CARTESIAN_POINT('',(17.2,14.95,1.7)); #42513=CARTESIAN_POINT('',(-0.001000000000001,14.95,1.7)); #42514=CARTESIAN_POINT('',(17.2,16.9292893218813,1.7)); #42515=CARTESIAN_POINT('',(17.2,0.,1.7)); #42516=CARTESIAN_POINT('',(-16.4184525333192,16.9292893218813,1.7)); #42517=CARTESIAN_POINT('Origin',(-16.4184525333192,16.9292893218813,1.7)); #42518=CARTESIAN_POINT('',(17.2,16.9292893218813,0.5)); #42519=CARTESIAN_POINT('',(17.2,16.9292893218813,2.85)); #42520=CARTESIAN_POINT('',(17.,16.9292893218813,0.500000000000004)); #42521=CARTESIAN_POINT('',(-16.4184525333192,16.9292893218813,0.500000000000004)); #42522=CARTESIAN_POINT('',(17.,16.9292893218813,1.7)); #42523=CARTESIAN_POINT('Origin',(-16.4184525333192,0.,0.500000000000004)); #42524=CARTESIAN_POINT('',(17.2,16.7,0.5)); #42525=CARTESIAN_POINT('',(17.2,0.,0.5)); #42526=CARTESIAN_POINT('',(17.,16.7,0.500000000000004)); #42527=CARTESIAN_POINT('',(-16.4184525333192,16.7,0.500000000000004)); #42528=CARTESIAN_POINT('',(17.,0.,0.5)); #42529=CARTESIAN_POINT('Origin',(-16.4184525333192,0.,0.500000000000004)); #42530=CARTESIAN_POINT('',(17.2,0.499999999999999,0.5)); #42531=CARTESIAN_POINT('',(17.2,0.,0.5)); #42532=CARTESIAN_POINT('',(17.,0.499999999999999,0.500000000000004)); #42533=CARTESIAN_POINT('',(17.,0.,0.5)); #42534=CARTESIAN_POINT('',(-16.4184525333192,0.499999999999999,0.500000000000004)); #42535=CARTESIAN_POINT('Origin',(-16.4184525333192,0.499999999999999,1.7)); #42536=CARTESIAN_POINT('',(17.,0.499999999999997,0.400000000000001)); #42537=CARTESIAN_POINT('',(17.2,0.499999999999997,0.400000000000002)); #42538=CARTESIAN_POINT('',(-16.4184525333192,0.499999999999999,0.400000000000005)); #42539=CARTESIAN_POINT('',(17.2,0.499999999999999,1.7)); #42540=CARTESIAN_POINT('',(17.,0.499999999999999,1.7)); #42541=CARTESIAN_POINT('Origin',(17.2,0.,1.7)); #42542=CARTESIAN_POINT('',(17.2,2.25,1.5)); #42543=CARTESIAN_POINT('',(17.2,2.35,1.4)); #42544=CARTESIAN_POINT('Origin',(17.2,2.35,1.5)); #42545=CARTESIAN_POINT('',(17.2,2.25,1.7)); #42546=CARTESIAN_POINT('',(17.2,16.7,0.400000000000001)); #42547=CARTESIAN_POINT('',(17.2,0.499999999999999,0.400000000000005)); #42548=CARTESIAN_POINT('',(17.2,16.7,1.7)); #42549=CARTESIAN_POINT('',(17.2,14.95,1.5)); #42550=CARTESIAN_POINT('',(17.2,14.95,1.7)); #42551=CARTESIAN_POINT('',(17.2,14.85,1.4)); #42552=CARTESIAN_POINT('Origin',(17.2,14.85,1.5)); #42553=CARTESIAN_POINT('',(17.2,14.25,1.4)); #42554=CARTESIAN_POINT('',(17.2,14.25,1.4)); #42555=CARTESIAN_POINT('',(17.2,14.15,1.5)); #42556=CARTESIAN_POINT('Origin',(17.2,14.25,1.5)); #42557=CARTESIAN_POINT('',(17.2,14.15,1.7)); #42558=CARTESIAN_POINT('',(17.2,7.45,1.5)); #42559=CARTESIAN_POINT('',(17.2,7.45,1.7)); #42560=CARTESIAN_POINT('',(17.2,7.35,1.4)); #42561=CARTESIAN_POINT('Origin',(17.2,7.35,1.5)); #42562=CARTESIAN_POINT('',(17.2,6.74999999999999,1.4)); #42563=CARTESIAN_POINT('',(17.2,6.74999999999999,1.4)); #42564=CARTESIAN_POINT('',(17.2,6.65,1.5)); #42565=CARTESIAN_POINT('Origin',(17.2,6.74999999999999,1.5)); #42566=CARTESIAN_POINT('',(17.2,6.65,1.7)); #42567=CARTESIAN_POINT('',(17.2,3.05,1.5)); #42568=CARTESIAN_POINT('',(17.2,3.05,1.7)); #42569=CARTESIAN_POINT('',(17.2,2.95,1.4)); #42570=CARTESIAN_POINT('Origin',(17.2,2.95,1.5)); #42571=CARTESIAN_POINT('',(17.2,2.95,1.4)); #42572=CARTESIAN_POINT('Origin',(-16.4184525333192,16.7,1.7)); #42573=CARTESIAN_POINT('',(17.,16.7,0.399999999999998)); #42574=CARTESIAN_POINT('',(-16.4184525333192,16.7,0.400000000000005)); #42575=CARTESIAN_POINT('',(17.,16.7,1.7)); #42576=CARTESIAN_POINT('Origin',(17.,0.,1.7)); #42577=CARTESIAN_POINT('',(17.,2.25,1.5)); #42578=CARTESIAN_POINT('',(17.,2.25,1.7)); #42579=CARTESIAN_POINT('',(17.,2.35,1.4)); #42580=CARTESIAN_POINT('Origin',(17.,2.35,1.5)); #42581=CARTESIAN_POINT('',(17.,2.95,1.4)); #42582=CARTESIAN_POINT('',(17.,2.95,1.4)); #42583=CARTESIAN_POINT('',(17.,3.05,1.5)); #42584=CARTESIAN_POINT('Origin',(17.,2.95,1.5)); #42585=CARTESIAN_POINT('',(17.,3.05,1.7)); #42586=CARTESIAN_POINT('',(17.,6.65,1.5)); #42587=CARTESIAN_POINT('',(17.,6.65,1.7)); #42588=CARTESIAN_POINT('',(17.,6.74999999999999,1.4)); #42589=CARTESIAN_POINT('Origin',(17.,6.74999999999999,1.5)); #42590=CARTESIAN_POINT('',(17.,7.35,1.4)); #42591=CARTESIAN_POINT('',(17.,6.74999999999999,1.4)); #42592=CARTESIAN_POINT('',(17.,7.45,1.5)); #42593=CARTESIAN_POINT('Origin',(17.,7.35,1.5)); #42594=CARTESIAN_POINT('',(17.,7.45,1.7)); #42595=CARTESIAN_POINT('',(17.,14.15,1.5)); #42596=CARTESIAN_POINT('',(17.,14.15,1.7)); #42597=CARTESIAN_POINT('',(17.,14.25,1.4)); #42598=CARTESIAN_POINT('Origin',(17.,14.25,1.5)); #42599=CARTESIAN_POINT('',(17.,14.85,1.4)); #42600=CARTESIAN_POINT('',(17.,14.25,1.4)); #42601=CARTESIAN_POINT('',(17.,14.95,1.5)); #42602=CARTESIAN_POINT('Origin',(17.,14.85,1.5)); #42603=CARTESIAN_POINT('',(17.,14.95,1.7)); #42604=CARTESIAN_POINT('',(17.,0.499999999999999,0.400000000000005)); #42605=CARTESIAN_POINT('Origin',(16.9292893218813,-16.4184525333192,1.7)); #42606=CARTESIAN_POINT('',(16.9292893218813,17.,0.500000000000004)); #42607=CARTESIAN_POINT('',(16.9292893218813,17.2,0.5)); #42608=CARTESIAN_POINT('',(16.9292893218813,-16.4184525333192,0.500000000000004)); #42609=CARTESIAN_POINT('',(16.9292893218813,17.2,1.7)); #42610=CARTESIAN_POINT('',(16.9292893218813,17.2,2.85)); #42611=CARTESIAN_POINT('',(16.9292893218813,17.,1.7)); #42612=CARTESIAN_POINT('',(16.9292893218813,-16.4184525333192,1.7)); #42613=CARTESIAN_POINT('',(16.9292893218813,17.,1.7)); #42614=CARTESIAN_POINT('Origin',(0.,-16.4184525333192,1.7)); #42615=CARTESIAN_POINT('',(0.270710678118655,17.2,1.7)); #42616=CARTESIAN_POINT('',(0.,17.2,1.7)); #42617=CARTESIAN_POINT('',(0.270710678118655,17.,1.7)); #42618=CARTESIAN_POINT('',(0.270710678118655,-16.4184525333192,1.7)); #42619=CARTESIAN_POINT('',(0.,17.,1.7)); #42620=CARTESIAN_POINT('Origin',(0.270710678118655,-16.4184525333192,1.7)); #42621=CARTESIAN_POINT('',(0.270710678118655,17.2,0.5)); #42622=CARTESIAN_POINT('',(0.270710678118655,17.2,2.85)); #42623=CARTESIAN_POINT('',(0.270710678118655,17.,0.500000000000004)); #42624=CARTESIAN_POINT('',(0.270710678118655,-16.4184525333192,0.500000000000004)); #42625=CARTESIAN_POINT('',(0.270710678118655,17.,1.7)); #42626=CARTESIAN_POINT('Origin',(0.,-16.4184525333192,0.500000000000004)); #42627=CARTESIAN_POINT('',(0.499999999999998,17.2,0.5)); #42628=CARTESIAN_POINT('',(0.,17.2,0.5)); #42629=CARTESIAN_POINT('',(0.499999999999998,17.,0.500000000000004)); #42630=CARTESIAN_POINT('',(0.499999999999998,-16.4184525333192,0.500000000000004)); #42631=CARTESIAN_POINT('',(0.,17.,0.5)); #42632=CARTESIAN_POINT('Origin',(0.,-16.4184525333192,0.500000000000004)); #42633=CARTESIAN_POINT('',(16.7,17.2,0.5)); #42634=CARTESIAN_POINT('',(0.,17.2,0.5)); #42635=CARTESIAN_POINT('',(16.7,17.,0.500000000000004)); #42636=CARTESIAN_POINT('',(0.,17.,0.5)); #42637=CARTESIAN_POINT('',(16.7,-16.4184525333192,0.500000000000004)); #42638=CARTESIAN_POINT('Origin',(16.7,-16.4184525333192,1.7)); #42639=CARTESIAN_POINT('',(16.7,17.,0.400000000000001)); #42640=CARTESIAN_POINT('',(16.7,17.2,0.400000000000002)); #42641=CARTESIAN_POINT('',(16.7,-16.4184525333192,0.400000000000005)); #42642=CARTESIAN_POINT('',(16.7,17.2,1.7)); #42643=CARTESIAN_POINT('',(16.7,17.,1.7)); #42644=CARTESIAN_POINT('Origin',(0.,17.2,1.7)); #42645=CARTESIAN_POINT('',(0.499999999999998,17.2,0.400000000000001)); #42646=CARTESIAN_POINT('',(16.7,17.2,0.400000000000005)); #42647=CARTESIAN_POINT('',(0.499999999999998,17.2,1.7)); #42648=CARTESIAN_POINT('Origin',(0.499999999999998,-16.4184525333192,1.7)); #42649=CARTESIAN_POINT('',(0.5,17.,0.399999999999998)); #42650=CARTESIAN_POINT('',(0.499999999999998,-16.4184525333192,0.400000000000005)); #42651=CARTESIAN_POINT('',(0.499999999999998,17.,1.7)); #42652=CARTESIAN_POINT('Origin',(0.,17.,1.7)); #42653=CARTESIAN_POINT('',(16.7,17.,0.400000000000005)); #42654=CARTESIAN_POINT('Origin',(0.,16.7,46.5862048458375)); #42655=CARTESIAN_POINT('',(0.499999999999998,16.7,0.2)); #42656=CARTESIAN_POINT('',(0.499999999999998,16.7,46.5862048458375)); #42657=CARTESIAN_POINT('',(0.4,16.7,0.2)); #42658=CARTESIAN_POINT('',(0.4,16.7,46.5862048458375)); #42659=CARTESIAN_POINT('',(0.,16.7,0.2)); #42660=CARTESIAN_POINT('Origin',(0.,0.499999999999999,46.5862048458375)); #42661=CARTESIAN_POINT('',(0.4,0.499999999999994,0.2)); #42662=CARTESIAN_POINT('',(0.4,0.499999999999999,46.5862048458375)); #42663=CARTESIAN_POINT('',(0.499999999999999,0.499999999999999,0.199999999999999)); #42664=CARTESIAN_POINT('',(0.499999999999999,0.499999999999999,46.5862048458375)); #42665=CARTESIAN_POINT('',(0.,0.499999999999999,0.2)); #42666=CARTESIAN_POINT('Origin',(0.499999999999999,0.,46.5862048458375)); #42667=CARTESIAN_POINT('',(0.499999999999997,0.4,0.2)); #42668=CARTESIAN_POINT('',(0.499999999999999,0.4,46.5862048458375)); #42669=CARTESIAN_POINT('',(0.499999999999999,0.,0.2)); #42670=CARTESIAN_POINT('Origin',(16.7,0.,46.5862048458375)); #42671=CARTESIAN_POINT('',(16.7,0.4,0.2)); #42672=CARTESIAN_POINT('',(16.7,0.4,46.5862048458375)); #42673=CARTESIAN_POINT('',(16.7,0.499999999999999,0.199999999999999)); #42674=CARTESIAN_POINT('',(16.7,0.499999999999999,46.5862048458375)); #42675=CARTESIAN_POINT('',(16.7,0.,0.2)); #42676=CARTESIAN_POINT('Origin',(0.,0.499999999999999,46.5862048458375)); #42677=CARTESIAN_POINT('',(16.8,0.499999999999997,0.199999999999997)); #42678=CARTESIAN_POINT('',(16.8,0.499999999999999,46.5862048458375)); #42679=CARTESIAN_POINT('',(0.,0.499999999999999,0.2)); #42680=CARTESIAN_POINT('Origin',(0.,16.7,46.5862048458375)); #42681=CARTESIAN_POINT('',(16.8,16.7,0.199999999999997)); #42682=CARTESIAN_POINT('',(16.8,16.7,46.5862048458375)); #42683=CARTESIAN_POINT('',(16.7,16.7,0.199999999999999)); #42684=CARTESIAN_POINT('',(16.7,16.7,46.5862048458375)); #42685=CARTESIAN_POINT('',(0.,16.7,0.2)); #42686=CARTESIAN_POINT('Origin',(16.7,0.,46.5862048458375)); #42687=CARTESIAN_POINT('',(16.7,16.8,0.199999999999997)); #42688=CARTESIAN_POINT('',(16.7,16.8,46.5862048458375)); #42689=CARTESIAN_POINT('',(16.7,0.,0.2)); #42690=CARTESIAN_POINT('Origin',(0.499999999999998,0.,46.5862048458375)); #42691=CARTESIAN_POINT('',(0.5,16.8,0.199999999999997)); #42692=CARTESIAN_POINT('',(0.499999999999998,16.8,46.5862048458375)); #42693=CARTESIAN_POINT('',(0.499999999999998,0.,0.2)); #42694=CARTESIAN_POINT('Origin',(0.,0.,0.2)); #42695=CARTESIAN_POINT('',(0.4,16.7,0.199999999999999)); #42696=CARTESIAN_POINT('',(0.499999999999999,0.4,0.199999999999999)); #42697=CARTESIAN_POINT('',(16.8,0.499999999999999,0.199999999999999)); #42698=CARTESIAN_POINT('',(16.7,16.8,0.199999999999999)); #42699=CARTESIAN_POINT('Origin',(16.7,16.8,0.399999999999999)); #42700=CARTESIAN_POINT('Origin',(16.7,16.8,0.399999999999999)); #42701=CARTESIAN_POINT('Origin',(16.7,16.8,0.399999999999999)); #42702=CARTESIAN_POINT('Origin',(0.5,16.8,0.399999999999999)); #42703=CARTESIAN_POINT('Origin',(0.5,16.8,0.399999999999999)); #42704=CARTESIAN_POINT('Origin',(0.5,16.8,0.399999999999999)); #42705=CARTESIAN_POINT('Origin',(44.9036317039853,16.8,0.399999999999999)); #42706=CARTESIAN_POINT('Origin',(44.9036317039853,16.8,0.399999999999999)); #42707=CARTESIAN_POINT('Origin',(16.8,0.499999999999997,0.399999999999999)); #42708=CARTESIAN_POINT('Origin',(16.8,0.499999999999997,0.399999999999999)); #42709=CARTESIAN_POINT('Origin',(16.8,0.499999999999997,0.399999999999999)); #42710=CARTESIAN_POINT('Origin',(16.8,16.7,0.399999999999999)); #42711=CARTESIAN_POINT('Origin',(16.8,16.7,0.399999999999999)); #42712=CARTESIAN_POINT('Origin',(16.8,16.7,0.399999999999999)); #42713=CARTESIAN_POINT('Origin',(16.8,-26.8035407018035,0.399999999999999)); #42714=CARTESIAN_POINT('Origin',(16.8,-26.8035407018035,0.399999999999999)); #42715=CARTESIAN_POINT('Origin',(0.499999999999997,0.4,0.4)); #42716=CARTESIAN_POINT('Origin',(0.499999999999997,0.4,0.4)); #42717=CARTESIAN_POINT('Origin',(0.499999999999997,0.4,0.4)); #42718=CARTESIAN_POINT('Origin',(16.7,0.4,0.4)); #42719=CARTESIAN_POINT('Origin',(16.7,0.4,0.4)); #42720=CARTESIAN_POINT('Origin',(16.7,0.4,0.4)); #42721=CARTESIAN_POINT('Origin',(-25.8186593202624,0.4,0.4)); #42722=CARTESIAN_POINT('Origin',(-25.8186593202624,0.4,0.4)); #42723=CARTESIAN_POINT('Origin',(0.4,16.7,0.4)); #42724=CARTESIAN_POINT('Origin',(0.4,16.7,0.4)); #42725=CARTESIAN_POINT('Origin',(0.4,16.7,0.4)); #42726=CARTESIAN_POINT('Origin',(0.4,0.499999999999994,0.4)); #42727=CARTESIAN_POINT('Origin',(0.4,0.499999999999994,0.4)); #42728=CARTESIAN_POINT('Origin',(0.4,0.499999999999994,0.4)); #42729=CARTESIAN_POINT('Origin',(0.4,42.0696849449893,0.4)); #42730=CARTESIAN_POINT('Origin',(0.4,42.0696849449893,0.4)); #42731=CARTESIAN_POINT('Origin',(-0.001000000000001,14.15,1.7)); #42732=CARTESIAN_POINT('',(-0.001000000000001,14.15,1.5)); #42733=CARTESIAN_POINT('Origin',(-0.001000000000001,14.25,1.5)); #42734=CARTESIAN_POINT('',(-0.001000000000001,14.25,1.4)); #42735=CARTESIAN_POINT('Origin',(-0.001000000000001,14.25,1.4)); #42736=CARTESIAN_POINT('',(-0.001000000000001,14.85,1.4)); #42737=CARTESIAN_POINT('Origin',(-0.001000000000001,14.85,1.5)); #42738=CARTESIAN_POINT('',(-0.001000000000001,14.95,1.5)); #42739=CARTESIAN_POINT('Origin',(-0.001000000000001,14.95,1.7)); #42740=CARTESIAN_POINT('Origin',(-0.001000000000001,6.65,1.7)); #42741=CARTESIAN_POINT('',(-0.001000000000001,6.65,1.5)); #42742=CARTESIAN_POINT('Origin',(-0.001000000000001,6.74999999999999,1.5)); #42743=CARTESIAN_POINT('',(-0.001000000000001,6.74999999999999,1.4)); #42744=CARTESIAN_POINT('Origin',(-0.001000000000001,6.74999999999999,1.4)); #42745=CARTESIAN_POINT('',(-0.001000000000001,7.35,1.4)); #42746=CARTESIAN_POINT('Origin',(-0.001000000000001,7.35,1.5)); #42747=CARTESIAN_POINT('',(-0.001000000000001,7.45,1.5)); #42748=CARTESIAN_POINT('Origin',(-0.001000000000001,7.45,1.7)); #42749=CARTESIAN_POINT('Origin',(-0.001000000000001,2.25,1.7)); #42750=CARTESIAN_POINT('',(-0.001000000000001,2.25,1.5)); #42751=CARTESIAN_POINT('Origin',(-0.001000000000001,2.35,1.5)); #42752=CARTESIAN_POINT('',(-0.001000000000001,2.35,1.4)); #42753=CARTESIAN_POINT('Origin',(-0.001000000000001,2.95,1.4)); #42754=CARTESIAN_POINT('',(-0.001000000000001,2.95,1.4)); #42755=CARTESIAN_POINT('Origin',(-0.001000000000001,2.95,1.5)); #42756=CARTESIAN_POINT('',(-0.001000000000001,3.05,1.5)); #42757=CARTESIAN_POINT('Origin',(-0.001000000000001,3.05,1.7)); #42758=CARTESIAN_POINT('',(0.,0.,0.)); #42759=CARTESIAN_POINT('',(0.400000000000009,18.1,2.401)); #42760=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#42768, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42761=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#42768, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42762=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#42768, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42763=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#42768, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #42764=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42760)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42768,#42770,#42771)) REPRESENTATION_CONTEXT('','3D') ); #42765=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42761)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42768,#42770,#42771)) REPRESENTATION_CONTEXT('','3D') ); #42766=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42762)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42768,#42770,#42771)) REPRESENTATION_CONTEXT('','3D') ); #42767=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#42763)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#42768,#42770,#42771)) REPRESENTATION_CONTEXT('','3D') ); #42768=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #42769=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT($,.METRE.) ); #42770=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #42771=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #42772=SHAPE_DEFINITION_REPRESENTATION(#42775,#42780); #42773=SHAPE_DEFINITION_REPRESENTATION(#42776,#42781); #42774=SHAPE_DEFINITION_REPRESENTATION(#42777,#42782); #42775=PRODUCT_DEFINITION_SHAPE('',$,#42784); #42776=PRODUCT_DEFINITION_SHAPE('',$,#42785); #42777=PRODUCT_DEFINITION_SHAPE('',$,#42786); #42778=PRODUCT_DEFINITION_SHAPE($,$,#17); #42779=PRODUCT_DEFINITION_SHAPE($,$,#18); #42780=SHAPE_REPRESENTATION('',(#26200,#28165,#28166),#42764); #42781=SHAPE_REPRESENTATION('',(#26201),#42765); #42782=SHAPE_REPRESENTATION('',(#26764),#42766); #42783=PRODUCT_DEFINITION_CONTEXT('part definition',#42794,'design'); #42784=PRODUCT_DEFINITION('TT-SF2','TT-SF2 v4',#42787,#42783); #42785=PRODUCT_DEFINITION('PCB_SF1a','PCB_SF1a',#42788,#42783); #42786=PRODUCT_DEFINITION('MC_shield_3D','MC_shield_3D',#42789,#42783); #42787=PRODUCT_DEFINITION_FORMATION('',$,#42796); #42788=PRODUCT_DEFINITION_FORMATION('',$,#42797); #42789=PRODUCT_DEFINITION_FORMATION('',$,#42798); #42790=PRODUCT_RELATED_PRODUCT_CATEGORY('TT-SF2 v4','TT-SF2 v4',(#42796)); #42791=PRODUCT_RELATED_PRODUCT_CATEGORY('PCB_SF1a','PCB_SF1a',(#42797)); #42792=PRODUCT_RELATED_PRODUCT_CATEGORY('MC_shield_3D','MC_shield_3D',(#42798)); #42793=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#42794); #42794=APPLICATION_CONTEXT( 'Core Data for Automotive Mechanical Design Process'); #42795=PRODUCT_CONTEXT('part definition',#42794,'mechanical'); #42796=PRODUCT('TT-SF2','TT-SF2 v4',$,(#42795)); #42797=PRODUCT('PCB_SF1a','PCB_SF1a',$,(#42795)); #42798=PRODUCT('MC_shield_3D','MC_shield_3D',$,(#42795)); #42799=PRESENTATION_STYLE_ASSIGNMENT((#42804)); #42800=PRESENTATION_STYLE_ASSIGNMENT((#42805)); #42801=PRESENTATION_STYLE_ASSIGNMENT((#42806)); #42802=PRESENTATION_STYLE_ASSIGNMENT((#42807)); #42803=PRESENTATION_STYLE_ASSIGNMENT((#42808)); #42804=SURFACE_STYLE_USAGE(.BOTH.,#42809); #42805=SURFACE_STYLE_USAGE(.BOTH.,#42810); #42806=SURFACE_STYLE_USAGE(.BOTH.,#42811); #42807=SURFACE_STYLE_USAGE(.BOTH.,#42812); #42808=SURFACE_STYLE_USAGE(.BOTH.,#42813); #42809=SURFACE_SIDE_STYLE('',(#42814)); #42810=SURFACE_SIDE_STYLE('',(#42815)); #42811=SURFACE_SIDE_STYLE('',(#42816)); #42812=SURFACE_SIDE_STYLE('',(#42817)); #42813=SURFACE_SIDE_STYLE('',(#42818)); #42814=SURFACE_STYLE_FILL_AREA(#42819); #42815=SURFACE_STYLE_FILL_AREA(#42820); #42816=SURFACE_STYLE_FILL_AREA(#42821); #42817=SURFACE_STYLE_FILL_AREA(#42822); #42818=SURFACE_STYLE_FILL_AREA(#42823); #42819=FILL_AREA_STYLE('Steel - Satin',(#42824)); #42820=FILL_AREA_STYLE('PCB Black Matt',(#42825)); #42821=FILL_AREA_STYLE('Gold - On PCB',(#42826)); #42822=FILL_AREA_STYLE('Stainless Steel - Polished',(#42827)); #42823=FILL_AREA_STYLE('Steel - Laser Mark',(#42828)); #42824=FILL_AREA_STYLE_COLOUR('Steel - Satin',#42829); #42825=FILL_AREA_STYLE_COLOUR('PCB Black Matt',#42830); #42826=FILL_AREA_STYLE_COLOUR('Gold - On PCB',#42831); #42827=FILL_AREA_STYLE_COLOUR('Stainless Steel - Polished',#42832); #42828=FILL_AREA_STYLE_COLOUR('Steel - Laser Mark',#42833); #42829=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157,0.627450980392157); #42830=COLOUR_RGB('PCB Black Matt',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #42831=COLOUR_RGB('Gold - On PCB',0.941176470588235,0.811764705882353,0.286274509803922); #42832=COLOUR_RGB('Stainless Steel - Polished',0.796078431372549,0.796078431372549, 0.796078431372549); #42833=COLOUR_RGB('Steel - Laser Mark',0.23921568627451,0.0666666666666667, 0.00392156862745098); ENDSEC; END-ISO-10303-21;